Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13895|回復: 2
打印 上一主題 下一主題

[問題求助] Verilog 語法問題:Specify Block該如何使用?

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2010-1-3 14:59:34 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
  1. 2 C4 q" a( R% c$ ]
  2. /*
    " h% W8 ]9 h2 p. I" `
  3. FUNCTION        : 3-INPUT AND GATE
    ' _5 z: U  p9 z* o: q1 F
  4. */# A; i' i; Q9 a% Q7 W. u
  5. `timescale  100 ps / 10 ps
    1 P# C1 E5 S0 {; m
  6. `celldefine4 V9 H+ W) w% O+ I5 A
  7. module and3 (o, i0, i1, i2);$ Z# d" }/ |0 [0 x- p7 T+ p
  8.     parameter cds_action = "ignore";$ u2 {1 l: u5 a7 G4 }
  9.     output o;, F3 e0 a' p/ L2 N, a! g3 X
  10.     input  i0, i1, i2;+ U5 [6 z3 M9 v, _  m0 u
  11.     and A1 (o, i0, i1, i2);4 \# y* g% k. X7 L! H$ G1 T: K
  12.     specify
    6 R0 e% D, r) B6 b
  13.         (i0 *> o) = (1, 1);4 j+ {9 I( o( _
  14.         (i1 *> o) = (1, 1);
    8 c" r& A+ z: b, i
  15.         (i2 *> o) = (1, 1);
    ! A2 ^6 K% [+ f$ E) n
  16.     endspecify+ D0 n  i# l; @! b* }$ r2 |
  17. endmodule8 @8 u$ q, S* p5 |$ K
  18. `endcelldefine
    ; {& k0 z. t4 `6 v- G
複製代碼
以上這個程式碼,是我在OrCad中找到的一段3輸入的and Gate的程式碼。  Q; o) L, k) h: P: I
很簡單的,就是對三個輸入做and的運算。就算是新手也看得懂,對吧!! e4 K3 g4 [  D4 [
問題來了

  1. 0 n. V+ n1 _+ U! r  a+ `
  2.     specify
    , L% I' j, ]3 o
  3.         (i0 *> o) = (1, 1);) n5 S: ^: N; D* y
  4.         (i1 *> o) = (1, 1);
    3 \1 _9 \; _5 f  R9 |1 P
  5.         (i2 *> o) = (1, 1);
    ( z+ F# P/ X' B' M- i. {
  6.     endspecify$ G( K- |" O, W! D
複製代碼
這就是我的問題,我找了很多文件,都不能完全瞭解段程式碼的意義。) y1 j; j1 J: G* g! q
是否有人能夠幫我解釋一下,這段程式碼以及Specify Block的意義和用法?
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂3 踩 分享分享
3#
 樓主| 發表於 2010-1-5 18:21:13 | 只看該作者
回復 2# masonchung - t/ A- ]0 s; C

. E8 ?. ^$ ^8 P2 T原來如此,瞭解了。9 i8 @4 i0 Q  A6 B$ S0 D
感謝回覆^^
2#
發表於 2010-1-4 09:32:07 | 只看該作者
您好
8 h( `( J" v; f! ]- USpecify Block 是用在路徑延遲的模擬
$ F: I' q# O* ^; R2 I7 \+ b' Z( h0 i, G) F, }, M. f
(souce*>destination)=delay_value ) e8 x+ Q; w( ^4 G& Y
Specify two delays, rise and fall = (t_rise, t_fall);
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-2 11:52 PM , Processed in 0.098005 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表