Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 19488|回復: 25
打印 上一主題 下一主題

Lattice的CPLD特性

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-12-2 09:37:21 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
之前有用過altera的CPLD,不過altera的CPLD並沒有辦法在軟體裡設定某根pin power on後在code執行前的位準,那想請問在板上有用過Lattice  CPLD的前輩,Lattice  CPLD有沒有辦法做這樣子的一個設定 ?
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2010-11-8 16:48:20 | 只看該作者
萊迪思MachXO2 PLD系列為低成本、低功耗設計樹立了新的標準
5 x6 h" B% ~6 s! V4 x* o" ]成本減少高達30%  功耗降低超過100倍 為低密度PLD設計人員創造更多優勢
% ]; w, o- |& S
; L+ C/ {3 J+ \4 m% w【台北訊,2010年11月8日】 萊迪思半導體公司(NASDAQ: LSCC)今天宣佈推出新的MachXO2™ PLD系列,為低密度PLD的設計人員提供在單個器件中前所未有的低成本、低功耗、與高系統集成。嵌入式快閃記憶體技術採用低功耗65奈米製程,與MachXO™ PLD系列相比,MachXO2系列提供了3倍的邏輯密度、10倍的嵌入式記憶體、降低100倍以上的靜態功耗並減少高達30%的成本。此外,在低密度可程式設計器件應用中的一些常用功能,如用戶快閃記憶體(UFM)、I2C、SPI和計時器/計數器已預製到MachXO2器件中,為設計人員提供了一個適用於大量及高成本敏感度設計的「全方位PLD」。
& D/ m9 j+ {* k3 T7 b, L" Z8 k8 J, D  e  l5 i, B4 e
萊迪思半導體低密度及混合信號解決方案行銷總監Gordon Hands表示,「透過65奈米嵌入式快閃記憶體技術的使用,萊迪思為電腦、工業和電信基礎設施市場的用戶降低成本,並增加功能,同時為消費者產品的設計人員大大降低了功耗。許多早期用戶已經在評估MachXO2元件,並在廣泛的應用中利用MachXO2元件進行設計。」
3#
發表於 2010-11-8 16:49:03 | 只看該作者
三款器件選擇提供最大的設計彈性' W: z* t0 L( j# @. ^0 ^  [

8 E* X: t8 h- o, d& pMachXO2系列提供三種最佳的彈性選擇。MachXO2 ZE器件擁有256到7K查找表(LUT),工作電源電壓標稱值為1.2V,並支援高達60MHz的系統性能。可提供低至19uW的功耗和小至2.5mmx2.5mm封裝。MachXO2 ZE元件專為成本敏感、低功耗的消費性應用設計提供效益,如智慧型手機、GPS和PDA等。 ( ^! _, `% j. d2 Q0 x

2 t$ q5 A" f/ s( ~5 x  j0 E  g# EMachXO2 HC元件擁有256到7K查找表(LUT),工作電源電壓標稱值為3.3V或2.5V,並支援高達150MHz的系統性能。提供多達335個用戶I/O和強大的設計解決方案(上電即用、非易失性、輸入遲滯和單晶片)。這些器件是控制型可程式設計邏輯元件應用在終端市場的理想選擇,如電信基礎設施、電腦、工業及醫療設備等。 3 {4 Y. x3 a; K' S) x! ]

; }8 J$ k, z7 h6 d9 X3 @# IMachXO2 HE元件擁有2K到7K查找表(LUT),工作電源電壓標稱值為1.2V,並支援高達150MHz的系統性能。這些元件專為功耗敏感的系統應用提供最大效益。 0 |3 U, C4 v1 k
+ ^* x, {& Q6 O) `$ P3 `
早期客戶反應( [* t7 X* K7 I  M( O' S! ~

! e$ ?( R! ~; D; U「在過去的兩年中,我們已經在CCTV影音光纖多工器中使用了MachXO PLD,因為他們提供令人讚賞的系統集成優勢,並將彈性及符合成本效益的架構整合在一起」,Obtelecom工程副總裁Zhu Guangxin先生表示。 「採用MachXO2 PLD,可使我們以更低的價格,透過下一代產品為客戶提供更多的功能」。”      
/ V3 ?5 r2 q( r( b2 f0 C' A; ~) C( Q1 m; R. T5 y; q
KND CNC Technique研發經理An Luping先生表示, 「我們一直在用MachXO2元件進行設計,並將它們用於我們的CNC(電腦數控)產品中。MachXO2系列獨特的系統集成優點,例如預製的I2C / SPI功能和用戶快閃記憶體、低功耗和極具吸引力的價格結合,讓我們得以利用這些元件作為代替ASIC和ASSP等高風險和昂貴的分立器件的選擇。」
4#
發表於 2010-11-8 16:49:42 | 只看該作者
免費設計工具及免費參考設計加速開發時間 5 r1 w) R. T+ P2 j7 |
$ p# q% b0 L+ m4 z8 U2 n
使用者可以開始利用Lattice Diamond™ v1.1軟體設計MachXO2器件,軟體可從萊迪思網站上免費下載 http://www.latticesemi.com/latticediamond/downloads/2 {2 x! ]$ L4 w; `# H- K0 \4 H

$ U3 H2 J! |% R3 a! v2 ~( z現有ispLEVER ®軟體的使用者可以選擇使用免費的ispLEVER v8.1 SP1 Starter軟體,並安裝控制包,軟體可從萊迪思的網站下載 http://www.latticesemi.com/starter
# M# |4 I/ \% S. t- {2 Q 3 @6 _/ t* s$ W8 k: Y, ]7 Z% o
為了能夠快速且高效地進行設計,並在系統和消費者應用中使用常用的功能,萊迪思網站提供多達20多個使用MachXO2元件的參考設計可免費下載 http://www.latticesemi.com/referencedesigns* Q! ~$ n9 s# A3 e; p; s# Q8 N
# x( \0 E# f+ V
此外,萊迪思計畫提供兩種開發套件,以加速對MachXO2器件的評估。詳情請見 http://www.latticesemi.com/machxo2devkits
0 e) d( I: R2 J" P/ b
2 X$ Y6 J, e# T3 ~  F  e2 y+ _定價和供貨情況4 n- F$ U+ b" `+ D
目前已提供MachXO2 LCMXO2 - 1200ZE和LCMXO2 - 1200HC元件的Alpha樣品,工程樣品預計將於2010年12月開始提供,並於2011年3月開始生產。TQFP100封裝的LCMXO2-256ZE/HC與TQFP100封裝的LCMXO2-1200ZE/HC,每50萬顆單位的定價分別為0.75美元與2.00美元。MachXO2系列的所有元件預計將於2011年第三季末出貨。
5#
發表於 2010-11-18 18:17:52 | 只看該作者
Lattice  CPLD就我使用所知   似乎沒有你這要求的設定
7 z+ U& V* ~4 w1 r
0 |2 H5 a8 B4 z) G' N' r% M" R$ f另外
" S7 B9 K5 Y9 k1 P, f% U2 `* {* H
+ v% v# X: M& c$ ~8 |& L: ACode執行之前的各腳位"狀態"或內部parameter"數值"通常會利用Reset pin來指定其值$ G: ^  U. }' `, Y* C
待Reset pin轉態後   便執行程式 5 j  U) z+ Q: O: T7 f

6 c3 s$ B. k* {+ R; u0 Q, J$ A6 W但若你所說的是  // power on 之後   //   chip還未依clock 執行 之前 // 的chip腳位位準的指定(設定)
% l( {9 l+ H& x% x4 `! U那問題就複雜了
4 [& i" Z. V) U( D4 R; ]& u. C. U; p, P# B
不知你可否詳述     """"某根pin power on後在code執行前的位準""""的詳細step
6#
發表於 2012-4-26 17:45:32 | 只看該作者
萊迪思發佈MachXO2可程式設計邏輯器件的新的32 QFN封裝
0 z# Z; v, E7 Z- K' g新的封裝是在空間受限的環境下需要使用低成本、低功耗PLD的各種應用的理想選擇 ( q: j1 }- k: ]; A

" h: [* a3 t& G4 b3 d# e2 e$ H【台北訊,2012年4月26日】萊迪思半導體公司(NASDAQ: LSCC)今日宣佈推出低成本、低功耗的MachXO2™系列可程式設計邏輯器件(PLD)的新32 QFN (四方形扁平無引腳)封裝。自2011年MachXO2系列量產起,世界各地的客戶已經廣泛採用此款結合易於使用、靈活性、系統集成和價格等多方面創新優勢的器件。新推出的5mm x 5mm小尺寸封裝,擴展了MachXO2 PLD的使用,適用於對於空間限制、易於佈局和製造有嚴格要求的應用。 , ?4 g# c2 |/ \9 e) @9 Z

; Q4 `8 V8 k/ s$ \) K) |; i法國Sophia Antipolis科技園Arago Systems公司,已經將新的32 QFN封裝MachXO2器件應用於其產品設計。Arago Systems的首席技術長官,ArnaultFontebride表示:「Arago Systems的Wisnet產品系列IPv6感測器網路節點和閘道(6LoWPAN),需要使用小尺寸、低功耗、工業級溫度範圍和低成本的器件。MachXO2 PLD的功能和靈活性與其小尺寸、堅固耐用、易於製造的32 QFN封裝相結合,完美地滿足了我們的高標準工業級需求。」' T1 e* g7 f: a4 F) P
; _6 i9 K, J. d- B
MachXO2 PLD系列獨特的系統整合優勢,廣泛適用於各種需要通用I/O擴展、介面橋接和上電管理功能的低密度應用。內置系統功能包括廣泛使用的I2C和SPI介面和在嵌入式功能塊(EFB)中的計時器/計數器的硬化實現,可提供高達429個查閱資料表(LUT)的預先設計、預先驗證的功能。Arago Systems的工程師在Wisnet產品中採用I2C介面時,節省了寶貴的設計階段和精力,縮短了產品上市時間。
7#
發表於 2012-4-26 17:45:40 | 只看該作者
萊迪思半導體晶片解決方案行銷總監ShakeelPeera表示:「MachXO2 PLD系列在系統和消費電子應用中獲得廣泛採用,這反映了我們的客戶熱衷於在他們的產品中使用低成本、低功耗、非易失性PLD,並且迅速地將自己的產品推向不斷發展變化的市場。新推出的32 QFN封裝的MachXO2系列器件拓展了空間受限和低功耗應用市場,包括消費電子、通信、計算、工業和醫療。」
+ F& J7 B7 f+ q2 v, b0 x& `* F* Y0 G% F  g) N6 F
參考設計和易於使用的開發套件
8 b3 h  N- W/ M7 c8 {2 L
2 S9 D9 P: T: O為了縮短產品開發時間,提供了超過35個常用的參考設計和IP核,以及易於使用的MachXO2 Pico和控制開發套件,適用於成本敏感的低密度應用的樣機開發。使用開發套件中預載入的設計,設計師們可以在幾分鐘內測試I2C、SPI和UART介面。工程師們可以使用免費下載的參考設計原始程式碼,在不到一小時的時間內重新構建這些演示設計,為其設計探索提供了一個良好的開端。其他參考設計使系統控制應用的設計人員能夠快速進行典型的電路板控制功能的樣機開發,如系統控制設計中使用的溫度和電流監控、電源定序、故障記錄、重定分配和風扇控制。
4 S; L- Y- E0 E5 Y. @$ a9 H0 ^$ ]6 V+ ?& n( |! t6 {4 ~) f. a
定價和供貨情況
' I$ s* P5 U: h& e7 H9 b0 T( a6 b6 E% i) |5 J1 [
萊迪思半導體提供32 QFN封裝的MachXO2-256器件的工程樣片,受到Lattice Diamond®設計軟體版本1.4.2的支援。量產器件預計將於2012年第三季上市。其他所有MachXO2 PLD完全符合量產標準,並已經從2011年開始供貨。MachXO2 PLD具有各種密度、封裝和速度級選擇,可以透過萊迪思網上商店www.latticesemi.com/store或者經授權的萊迪思代理商www.latticesemi.com/sales訂購。批量250K片的MachXO2 PLD 256LUT價格為每片0.55美金。
8#
發表於 2012-5-11 08:57:52 | 只看該作者
萊迪思MachXO2可程式設計邏輯套件出貨量達一百萬片 公司歷史上最快被客戶採用的創新、低成本、低功耗PLD系列
) S/ |4 |; k; I$ V6 h: j9 |7 |" @) c
【台北訊,2012年5月10日】-萊迪思半導體公司(NASDAQ: LSCC)今天宣佈自2011年12月發表產品系列量產以來,MachXO2™PLD出貨量已經超過一百萬片。在2012年3月,出貨量達一百萬片的里程碑代表在萊迪思的悠久歷史中,這是客戶最快採用的套件,也是可程式設計邏輯業界的先驅。
: Y! z9 D) R/ `9 G; T# ?' i1 U5 z7 l( Q( K6 w
MachXO2系列的量產訊息發表後不到4個月,第一百萬片的套件已經被運送至全球數百個客戶,他們充分發揮了此產品嵌入式快閃記憶體技術,以及其易用性、靈活性、系統整合和價格便宜等相結合的優勢。 MachXO2的客戶正在使用這些多功能的套件,針對許多市場的各種應用作為基本的設計解決方案,包括消費電子、通訊、電腦,工業和醫療設備的應用。) a7 {1 ~* K3 S9 s& J& E' v

- d6 a& ~8 O8 f9 k+ y萊迪思策略行銷總監ShakeelPeera 指出,「 在這麼短的時間內出貨量就達到了一百萬片,證明了MachXO2 PLD是在MachXO™系列產品中具有開創性價值的承先啟後者,此產品也加強了Lattice公司在低密度PLD市場中的領導地位。現有客戶和新客戶持續地重視MachXO2套件所帶來的價值,將其快速啟動和非揮發性的特性用於他們的單晶片解決方案中。然而,這個系列以前所未有的速度被使用者採用,可歸功於它的新功能,如多達6,864個LUT的邏輯密度、多達335個I/O、硬化實現產品中被廣泛使用的I2C和SPI介面、在嵌入式功能塊中的計時器/計數器,以及多達256 Kbits的用戶快閃記憶體。」
9#
發表於 2012-5-11 08:58:01 | 只看該作者
參考設計和易於使用的開發套件
7 c% g  m! A0 `! k
) S1 e, J6 Q, r35個受歡迎的參考設計和IP核,以及易於使用的MachXO2 Pico、和控制開發套件縮短了具成本導向、且低密度的原型應用開發時間。使用開發套件提供的預載設計,設計人員可以用短短幾分鐘的時間對I2C、SPI和UART介面進行測試。透過使用免費下載的參考設計原始程式碼,工程師們可以在不到一小時的時間重建這些參考設計,提供工程師們在研發設計時一個好的開始。其他參考設計讓系統控制應用的設計人員能夠快速開發典型的電路板控制功能的原型,如溫度和電流監控、電源定序、故障記錄、重定分配和系統控制設計中使用的風扇控制。
' y/ P8 O: A+ S: m1 p
, e& G  B; f- M; r定價和供貨情況
. g# W: J  c( h; ~# v" h6 `# W1 z  d1 F  @( N
MachXO2 PLD已經完全符合量產資格,自2011年開始出貨。MachXO2 PLD系列具有各種密度、封裝和速度等級可供選擇,可以透過萊迪思網路商店www.latticesemi.com/store,和經授權的萊迪思經銷商www.latticesemi.com/sales訂購。對於批量為250K單位,擁有256個LUT的MachXO2 PLD,每片的價格低於0.50美元。
10#
發表於 2012-6-4 16:54:57 | 只看該作者
萊迪思推出最新MachXO2 PLD系列嵌入式功能塊的參考設計+ O# v8 J  x" R& S! F' I' c
–MachXO2獨特的I2C、SPI和快閃記憶體固化IP導入可重複使用的最新演示設計–, ?+ n- l( g" ?* i6 P
5 S1 f4 k  |* z" s2 d

6 e5 @, Y3 ]/ c) ^4 ^4 A【台北訊,2012年6月4日】-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈,推出適用於低成本、低功耗的MachXO2™系列可程式設計邏輯套件(PLD)的四個新參考設計。新的參考設計簡化並強化了MachXO2套件中特有的嵌入式功能塊(EFB)內置的I2C、SPI和用戶快閃記憶體功能的使用。除此之外,萊迪思還發佈了五個新的演示設計和三個更新的應用文章,重點介紹以嵌入式快閃記憶體為基礎的嵌入式功能塊。
# M- u5 Q5 M% O5 |) |& v
; |. d9 I* K+ S$ k自從MachXO2系列量產以來,數百家客戶已經將EFB內置的I2C、SPI和使用者快閃記憶體功能與微處理器、微控制器、記憶體和其它系統周邊設備,廣泛用於各種I/O擴展和橋接、資料儲存、配置和電源定序等應用。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
11#
發表於 2012-6-4 16:55:25 | 只看該作者
現在,新的參考設計滿足了下列功能,並延續EFB易於使用、可立即使用RTL代碼等特性,且每組參考設計都搭載了標準資料和指令介面:# c6 L! [/ n; @; b6 G' o

$ W$ |  h0 h  b1 }3 F8 E& m* X3 k4 {·        I2C-SLAVE(萊迪思參考設計編號RD1124)% l0 c9 j8 j8 n' A% u- U
·        SPI-SLAVE(RD1125)% |$ g8 g( J; b- [  @, w1 a
·        UFM存取(RD1126)6 ^% V4 u7 e7 Z/ |7 }! Z
·        嵌入式編程更新(RD1129)' m: q! ]+ Y: g

/ d) L% M$ N' O' O所有RTL代碼都加入了註解並經過參數化處理,可以很容易地進行編輯,滿足客製化的設計。1 w1 r9 U5 u" a, d4 n. w& Q

  \3 w( E1 H; U2 X/ q五個新的演示設計使用萊迪思低成本的硬體開發套件滿足了EFB參考設計,其中包括特價優惠的MachXO2Pico開發套件,其系統組態如下:3 j# b, J4 _) i2 y8 J
  [$ b8 G, G8 i' D4 U2 M
·        I2CMaster和I2CSlave(萊迪思演示設計,編號UG55), I6 U3 c0 p7 t% ^3 B
·        SPIMaster和SPISlave(UG56)
& d3 d4 c' R4 u. T: C6 g- o·        使用C語言和LatticeMico8™微控制器的I2C &SPIMaster(UG54)0 y( `6 k, W# o' u$ v) C. H
·        透過Wishbone匯流排界面的編程(UG57). o+ @0 r0 c+ {* T" D, ^: U  `2 n! L
·        透過I2C的嵌入式編程(UG58)
12#
發表於 2012-6-4 16:55:59 | 只看該作者

. V5 W5 a) J7 E2 R5 T" d, D$ ?0 d3 }  Y; Q- Y+ q' U" Y: X. C
這些設計都加入了註解、預先驗證的RTL和C代碼,可以很方便地重覆使用,幫助工程師們順利地進行初期導入的工作。有關MachXO2程式設計、配置和EFB固化IP功能,請參考下面的應用文章:
) x. m5 s. Y7 N7 p' B! i
" o% p* V' P% w( m( ~9 [·        TN1204 – MachXO2編程和配置使用指南# [  `% {# M$ R0 M$ |& ~, ~' J
·        TN1205 –使用MachXO2套件的用戶快閃記憶體和固化的控制功能使用者指南  ]/ m1 l  D5 D
·        TN1246 –使用MachXO2套件的用戶快閃記憶體和固化的控制功能參考設計
% E8 Z7 E- k, O9 Q2 Z- D
) U' C) o/ s& N4 z- k/ E" F( C8 _( V定價和供貨情況
1 o4 Y4 X: R& u$ R4 v9 M
& {! P. J8 F  ~: ?- R2 w萊迪思所有專為MachXO2系列優化的參考設計都可以免費從萊迪思網站下載。MachXO2 Pico開發套件促銷價為29美金,可以透過萊迪思網上商店或萊迪思代理商購買,特價產品售完即止,促銷期至2012年12月31日結束。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
13#
發表於 2012-6-7 18:13:12 | 只看該作者

首批低成本、低功耗的LatticeECP4 FPGA樣品正式出貨

創新的6GSERDES、固化通訊模組和雙資料傳輸速率DSP塊 適用於低成本和低功耗的無線、有線和視訊市場應用 5 Q2 `. b7 g- d4 a

7 p8 w, f5 p, S) C, d* h% T2 Q
9 C- J8 V( n9 i8 _) ?  ?【臺北訊,2012年6月7日】-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈,新一代LatticeECP4™FPGA系列中密度最高的組件,已經出貨給部分經選擇的客戶。新的LatticeECP4 FPGA系列提供了多種200KLUT以下、低成本、低功耗的中階組件,其高性能的創新突破包括:低成本封裝的6GSERDES、功能強大的DSP塊和內建、以硬核IP為基礎的通訊模組。LatticeECP4-190是這個系列中密度最高的組件,擁有183KLUT、480個雙數據速率DSP乘法器(18×18)、5.8Mbits記憶體和12個6 GbpsSERDES通道,非常適合各種低成本和低功耗的無線、有線、視訊和計算應用。萊迪思已發佈了三個覆晶 (Flip-Chip) 封裝的LatticeECP4-190(676,900和1152 pin腳),可廣泛的適用於各種應用。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
14#
發表於 2012-6-7 18:13:24 | 只看該作者
LatticeECP4-190 FPGA擁有高速CPRI和SRIO2.1介面和雙數據速率數位信號處理(DSP)模組,適用於構建不同種類的無線網路。 LatticeECP4 FPGA可協助快速建構最新的3G/4G基地台、小型和超微型基地站、微波和毫米波後端接取線路。LatticeECP4-190 FPGA還擁有36個嵌入式時鐘和資料恢復電路(CDR),使用創新的低成本,低功耗FPGA構建高密度埠的交換器和路由器。強大的DSP模組及協力單位智慧產權核的數量成長和參考設計,讓視訊監控攝影機客戶也能夠使用物美價廉的中階FPGA實現複雜的演算法。
' W( T9 @% I" t* _" U7 {( o( G5 H% x- d/ x& O5 ^" n
萊迪思公司副總裁暨基礎設施業務部總經理Sean Riley表示,「隨著LatticeECP4-190組件的推出,我們的客戶可以針對無線基地台、後端接取、有線存取、視訊和顯示器的應用實現更複雜的設計,並且同時受惠於組件的低功耗和低成本特性。新一代LatticeECP4FPGA系列為基礎設施的客戶帶來了高級功能,同時保持了業界領先的低功耗和低成本優勢。」
0 K8 v- a$ e2 O# N8 G& n0 O4 j& R2 O0 d" X
Lattice Diamond設計環境加速了開發時間
( u$ @9 u6 }% u7 ~2 \6 i
6 p4 P7 `# w1 f" B1 K% H% Q部分客戶於日前已經獲得Lattice Diamond®2.0測試設計軟體,已經可以立即開始設計並對新樣品進行程式設計。Lattice Diamond設計軟體是針對萊迪思FPGA產品所開發的旗艦型設計環境,提供了完整、功能強大的工具,高效的設計流程和使用者介面,使設計人員能夠更迅速地針對低功耗,低成本的FPGA做應用。此外,LatticeDiamond軟體持續提供專門為低成本和低功耗的應用而開發業界領先的功能。其中包括高精確度的功耗計算器,以pin腳為基礎的同步開關輸出雜訊計算器,和經驗證,有助於確保達到低成本和低功耗特性設計解決方案的MAP和PAR FPGA導入演算法。
15#
發表於 2012-7-18 16:04:48 | 只看該作者
萊迪思推出強大設計工具Lattice Diamond 2.0軟體- C/ a4 j6 d) D0 e$ c
適用於新的低成本、低功耗LatticeECP4 FPGA系列產品0 e! J% u" c( P/ H4 q
-針對時序、功耗和封裝提供進階的資料支援- # X) R1 j3 l1 j: e. S6 q

$ t3 Q+ k- K5 F( K5 @$ G【臺北訊,2012年7月17日】-萊迪思半導體公司(NASDAQ: LSCC)今天宣佈推出2.0版本的Lattice Diamond®設計軟體,為萊迪思FPGA產品提供旗艦級的設計環境。 2.0版本包含了對新一代LatticeECP4™FPGA系列產品的進階支援,針對價格與耗電反應敏感的無線、有線、視訊和計算等應用,重新定義了低成本、低功耗、中階FPGA市場。1 k) x7 E! y) s/ x
  ~2 u! p+ F" B
透過可滿足快速設計時序收斂(design timing closure)的性能,Lattice Diamond2.0大幅改進了整體的使用者體驗。除此之外,此設計軟體還針對LatticeECP3新增了一個以分割 (partition) 為基礎的增量設計流程。這個新的設計流程將有助於使用者保有設計性能,並減少設計修改後的執行時間。, D% i. Y" o1 G
4 C8 m( {' w7 H+ f" I/ R
萊迪思的軟體行銷總監Mike Kendrick說道,「Lattice Diamond 2.0軟體包括一套完整、易於使用、功能強大的優化設計工具,針對萊迪思低功耗、低成本的中階FPGA獨特邏輯結構所設計。增加的新功能包含:如系統規畫工具(System Planner),可支援萊迪思創新的LatticeECP4架構,並簡化複雜地高速6 Gbps串列資料傳輸解決方案的產物,同時滿足預設的成本目標。」
16#
發表於 2012-7-18 16:05:17 | 只看該作者
針對新的LatticeECP4 FPGA系列提供先進的資料支援
7 [2 V, z* w* q; d) ?6 o6 y3 I) r* w: o0 A* V
當使用者針對價格與耗電反應敏感的中階FPGA做開發應用時,他們可以在Lattice Diamond設計環境中,輕易地熟悉這套設計方案,這樣的架構非常適用於LatticeECP4系列產品。以早期LatticeECP4-190組件的矽特性為基礎,Lattice Diamond2.0軟體可支援針對時序、功耗和封裝的進階資料。除了可確保低成本和低功耗特性的演算法外, Lattice Diamond2.0版本還增加了一個新的系統規畫工具(System Planner),讓使用者能夠優化LatticeECP4組件中12個6Gbps SERDES通道對於資源的使用。7 |# C, q, V: V* T* s

9 g  Z  K, s) C8 s1 L- v; g此外,功能豐富的功耗計算器提供節省功耗和待機模式的設置,和預強調(pre-emphasis)的配置一起精準地分析和估計LatticeECP4設計所產生的功耗。2.0版本還能夠生成LatticeECP4組件的DSP塊,而此DSP塊也是業界唯一以FPGA的高輸送量、雙數據速率為基礎的DSP塊,非常適用於低成本、高性能的RF、基頻和圖像信號處理。
% }2 U, w8 r" A+ e6 c1 ^
/ v% t4 K; G7 F/ c. Z! Z  l& V: J加速產品上市的高效能設計流程" k  y* F. m$ {$ X( ]" K
; K) f1 P7 i6 c2 v  g
在最短的時間內達到時序收斂是一個重大的挑戰,因為用戶把越來越多的功能放入單片FPGA中。當用戶改變他們的設計時,他們會希望FPGA設計工具能夠保留一些已經取得、由關鍵時序產生的結果,並縮短導入更新設計的執行時間。LatticeECP3 FPGA的用戶現在可以使用以分割(partition)為基礎的增量設計流程,以保留設計的性能,並減少變更局部設計後執行編譯的時間。這個設計流程會重新使用先前編譯的分割,區分出在重新設計過程中未涉及的部分,只重新編譯有改變的地方。 ) N& j6 A/ `/ z
! q7 C& x$ N. {
此外,為了滿足快速的時序收斂,大多數使用者通常會適度地限制他們的設計。Lattice Diamond2.0軟體提供了改良且無限制的路徑報告(paths report),能夠讓使用者更迅速地識別並填補他們受到限制的設計。
17#
發表於 2012-7-18 16:06:07 | 只看該作者
更加易於使用$ Q+ [- a" u* D; m' e0 `

2 v# W! Y' u) I3 ]; oLattice Diamond軟體是一個直覺式的設計環境,使用者能夠更迅速地完成他們的設計。為了協助識別並修正在設計流程初期會遇到關於引腳的使用問題,Lattice Diamond1.4版本導入了一個新的引腳-Design Rule Check(DRC)引擎。使用Lattice Diamond軟體2.0版現在就能夠檢測到額外、未正確使用引腳的情況,除了支援LatticeECP3、MachXO2™和LatticeSC™組件系列產品之外,對LatticeECP4組件也有提供支援。該DRC引擎可即時或執行指定的工作。新版本還可輸出易懂的報告,提供建議來幫助使用者修正引腳的使用問題。
0 ~& V$ w) z" E% D
( o& A6 o; T4 Q$ y, Z8 |每個版本的Lattice Diamond軟體都包含了Lattice Diamond程式設計和Lattice Diamond部署工具。透過直覺地導入方式,使用者可以輕鬆地對萊迪思組件進行程式設計,或是依據用戶部署所需的格式,產出適合的組件程式設計檔。從Lattice Diamond Programmer 2.0開始,用戶可以添加自己的SPI快閃記憶體組件到任何新版的工具。Lattice Diamond 2.0的部署工具還提供了更多的嵌入式功能,如嵌入於MachXO2組件系列的I2C,LatticeECP3和LatticeXP2™系列組件的從屬SPI。Lattice Diamond程式設計和Lattice Diamond部署工具都可當作獨立的工具來使用。 ! w# E: S3 T( k* U
- t) B+ F* e* t- Z
協力廠商的工具支援. K/ Y6 s' c, f

2 E5 }2 E! U0 i4 c1 }Lattice Diamond套裝軟體包含了適用於Windows和Linux Synopsys的SynplifySynplify Pro 高級FPGA綜合工具,以及適用於Windows的AldecActive-HDL萊迪思版II模擬器。
18#
發表於 2012-7-18 16:06:16 | 只看該作者
對所有萊迪思組件的支援除了SynplifyPro和Active-HDL的OEM版本外,還包括SynopsysSynplify Pro、AldecActive-HDL和Riviera-PRO的完整版本。 Mentor Graphics的ModelSimSE和PrecisionRTL的綜合版本也支援萊迪思組件。 / `0 Q/ P% b9 p

  L+ q8 z% b: K3 [5 O2 _支援的作業系統0 T( Y" ^$ _0 ~2 U
  p+ R" e. d# O5 l4 Y  }
Lattice Diamond2.0軟體支援微軟的Windows XP、Windows Vista和Windows 7作業系統,現在還針對Windows 7的64位元應用增加了記憶體容量。對於Linux用戶,現在Lattice Diamond2.0能夠在第5和第4版本和上Linux Red Hat 6運作。
% A8 B- h+ k1 x. ?8 o6 o; P1 S! z
  |1 ~/ u) L; W' a7 o7 g; s& \定價和供貨情況* z7 o2 y- b% W4 q7 H

. I4 D7 S/ g3 x' J% N7 A' j5 q針對Windows和Linux作業系統的Lattice Diamond軟體可以從萊迪思的網站下載http://www.latticesemi.com/latticediamond/downloads/。一旦下載安裝,該軟體就可透過Lattice Diamond的免費授權或Lattice Diamond訂閱授權進行使用。使用者在萊迪思網站提出申請後即可取得Lattice Diamond免費授權。透過此授權將可免費存取許多熱門的萊迪思產品,如MachXO2、Platform Manager™系列、綜合版本的Synopsys SynplifyPro以及Aldec萊迪思版II混合語言模擬器。 # Z0 X1 H, h; U* D5 k1 U$ o
8 c( O6 n, Z* b/ p& [2 V& Q
透過購買Lattice Diamond訂閱授權將能夠使用免費授權的所有功能,包括所有萊迪思的FPGA,如LatticeECP4組件(不包含LatticeECP4-95組,該組件目前只提供給部分客戶)。Lattice Diamond訂閱授權的價格為每年895美元。
19#
發表於 2012-10-18 13:26:30 | 只看該作者
iCE40 FPGA產品家族持續得到業界肯定- 超低密度的FPGA角逐「 年度數位半導體產品」獎 - 1 y0 C: M8 {: k# k( d

/ |9 \2 c9 J6 I7 k$ l0 ]" H(台北訊,2012年10月18日)-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈其超低密度的iCE40™FPGA系列被提名入圍「年度數位半導體產品」Elektra獎的決選名單!在獲得此榮譽不久前,iCE40 FPGA系列產品才因為其節能和節省功耗的特色榮獲e-Legacy的「環保設計」獎。 " h% h& m$ v2 r' r$ m

$ U/ q% k3 A" ^/ m1 l此獎項的決選名單是由2012年Elektra歐洲電子工業獎的獨立評審小組遴選脫穎而出,並將於12月12日在倫敦威斯敏斯特橋公園廣場 (Park Plaza, Westminster Bridge) 的Elektra頒獎晚宴上宣佈獲獎者。Elektra獎可說是電子產業的年度盛會,該獎項不僅讓個人的成就得到認可,也為橫跨歐洲的企業提供了受表揚的機會。
0 p6 f8 t7 y. ~- g+ v, F- D- M
; t6 A4 D( C; X; {( T5 @. T萊迪思資深企業和產品行銷總監Brent Przybus表示,「我們很高興能獲得Elektra獎獨立評審團的肯定。我們創新、超低密度的iCE40 FPGA持續獲得各界的掌聲,其多功、低成本和低功耗的特性也受到市場廣大的歡迎。超低密度iCE40 FPGA產品家族正在為FPGA開闢新的市場和應用,其中包括廣泛用於消費性電子和行動電子產品的設計應用。」
) e3 t0 Z. ^) G
/ Z: G6 y  R1 [+ W5 ]$ ?& q關於iCE40 FPGA系列2 K/ w. w% x5 i3 V! L
  G. x" d" ]% V0 F8 }2 q
iCE40 FPGA產品家族專門針對對於功耗、成本和尺寸都極度要求的應用而設計。對智慧型手機、平板電腦、數位相機,以及其它被空間和功耗限制的應用來說,iCE40 FPGA產品家族的成本優化結構,為這些應用提供了理想的架構。 iCE40 FPGA產品家族針對感應器管理、視訊和圖像、客製化的連結、記憶體、擴展儲存空間和粘合邏輯(Glue logic)等應用都是理想的選擇。
20#
發表於 2012-10-30 09:58:10 | 只看該作者
適用於複雜系統控制和介面設計原型開發的萊迪思MachXO2控制開發套件
/ m0 r8 T- u! c8 z+ G/ v& M– 以MachXO2-4000HC FPGA為基礎的開發套件,具有可程式設計特性,且易於使用,有助於簡化電子系統設計–$ e0 g; M& |+ U
2 X( V8 p/ k* p
(台北訊,2012年10月29日)-萊迪思半導體公司(NASDAQ: LSCC)宣佈推出MachXO2™系列超低密度FPGA控制開發套件,適用於低成本的複雜系統控制和視訊界面設計的原型開發。新加入了MachXO2-4000HC組件,包括4,320個可程式設計邏輯的查閱資料表(LUT)和222Kbit單晶片記憶體,滿足了通訊、計算、工業、消費電子和醫療市場對於系統控制和介面應用的需求。1 ^9 ~6 C% H* \" a" W  t8 |

( y* u8 c6 |# o8 y# p6 e6 n& w工程師們可以將MachXO2控制開發套件用作一種低成本、全功能、用於系統控制功能開發的開發平台,如電源定序和監控、重定分配和資料記錄。該套件內建的DVI和7:1 LVDS(Camera Link)視訊輸入和輸出連接器,也是視訊界面和處理設計的理想選擇。控制套件讓每塊電路板上容納更多感測器、促動器和指示器來滿足複雜系統的控制和介面需求。MachXO2-4000HC組件的可程式設計邏輯和單晶片記憶體還能實現高品質影像處理和緩衝等功能,適用於低成本視訊應用。
2 H6 Q0 A8 r* ?  `7 z
4 ~- ^$ R) `' M3 v該套件也提供了其他豐富的內建系統資源,協助工程師構建實際系統原型的數位和類比控制、人機界面(電容式麥克風、揚聲器/耳機、LED)和用來連接到外部設備和系統各種介面(USB 2.0、microSD、GPIO)。除此之外,工程師還可存取電路板上萊迪思Power Manager II POWR1014A類比/數位電源監控器和時序控制器、4 MB SPI快閃記憶體和256MBLPDDRSDRAM記憶體。+ o, G; o0 [- k
0 y% ?/ J, ]8 z6 b) D
萊迪思企業和產品市場部資深總監Brent Przybus表示,「客戶持續在使用MachXO2系列組件來處理越來越多的系統介面,並利用低成本、低功耗的可程式設計特性導入智慧系統控制。控制開發套件簡化了這些系統的原型設計,並證明了MachXO2系列產品在嚴苛的市場要求下,還能在各種系統控制應用中被廣泛的使用。 」' M' w' N& u: I. H4 t' n

) Z7 d/ `7 l. |2 ~1 p# F: N參考設計和易於使用的開發套件
9 \& R, u7 r( ]+ R0 A
& N, @' [5 f. i( I8 t( B9 W/ N* e為了縮短開發週期,萊迪思提供了超過35款廣受歡迎的參考設計和IP核、一塊低成本的分接板(Breakout Board),還有易於使用的Pico和控制開發套件,這兩套開發套件可針對使用MachXO2 FPGA開發出的成本敏感、超低密度的設計進行原型打樣,使用控制開發套件中預載的單晶片系統控制設計,工程師們可以使用其中的Power Manager II POWR1014A組件和8位元LatticeMico8™微型軟體控制器在幾分鐘內測試電路板控制功能,如電源定序、重置分配、電源監控和資料記錄,讓工程師們在探究其他設計選項的初期,立即就能上手。  T3 _9 M5 g" I6 |2 g  ^
定價和供貨情況
. d1 a( f7 p: j) z
; R' ]; Q6 _4 vMachXO2控制開發套件已經上市,建議售價為189美元。所有MachXO2 FPGA產品皆符合量產標準,並已從2011年起開始出貨。
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-7 03:27 PM , Processed in 0.126007 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表