Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 5710|回復: 3
打印 上一主題 下一主題

[問題求助] 關於 合成 指令 clk_latence 的請益

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-6-10 19:41:06 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
因為我的電路需要多種clk3 M* k7 O" H# Y
clk比此之間有延遲的關係( @; I0 b, G( K6 `$ T6 |* O& l; X
例如 clk  clk1  clk2  clk3
/ p, d$ K+ x5 N8 c% Y/ I9 _這些clk週期等相關設定都一樣的
) q/ x+ U; _* e6 x4 Q唯一不同的是  clk1 比 clk  延遲0.375ns2 @; p9 e" Z: P7 q/ ^& p, a
            clk2 比 clk1 延遲0.375ns  (clk2比 clk 延遲0.75ns)
/ X1 q  k. f, a0 F            clk3 比 clk2 延遲0.375ns  (clk3比 clk 延遲1.125ns)
) `3 j9 @% e; K, d9 w但是我只要一個clk port 接出io pad
! e+ F/ f- y* R& A也就是clk1 clk2 clk3 要由 clk產生
2 h; {2 `/ ]' D因此我在verilog 寫一個 clk generator如下4 E' q. d: T! c: D4 ]9 |, E) A

1 R! H$ v+ d) c8 B' vmodule clk_generator  (clk, clk1, clk2, clk3);  
, x& {3 v$ ?1 U( @4 Q4 ~+ w+ e$ L  input clk ;$ s, s: v5 b0 _( `
  output clk1, clk2,  clk3 ;# \  Y2 A6 {9 [6 H. |* l/ ]
  buf BUF_0(clk1,clk);5 Q1 P* Q. i; A
  buf BUF_2(clk2,clk1);" v- n4 ~! _& n8 G- a& U( r3 _
  buf BUF_3(clk3,clk2);
  w7 t3 I. r% d4 o9 x2 tendmodule5 X; R  ^$ x$ }

/ m4 W# |* \: I8 N再我主要的module中引用如下# y/ a8 s) E4 C: F4 x
module s4478( GND, VDD, clk,  G1, G2, G3, G4, G5,OUT1, OUT2, OUT3, OUT4, OUT5, error );
! }5 [/ i9 A$ \: B- H8 x  input GND, VDD, clk, G1, G2, G3, G4, G5; 2 \( n9 u7 @# Z
  output OUT1, OUT2, OUT3, OUT4, OUT5, error;- W8 J3 `2 X6 G6 z' V
  wire   clk1, clk2, clk3 ;
7 W8 ]3 @9 W8 J2 G/ H: B* j3 M.
, [- h( y+ [& v  u3 Q.
) K3 t. X- m# q, T9 |clk_generator clk_generator ( .clk(clk), .clk1(clk1), .clk2(clk2), .clk3(clk3) );  , R1 |! R2 I% \7 D6 m
.# C4 W+ N6 ~, ^
.; n3 U& n9 l5 |7 K2 H) I
end module% c) k! W: m2 X- {: W+ L+ k

8 q* P! X9 r8 H; \) Ldesign vision 指令 clk部分描述如下
; L5 X0 Y( ?8 r* ]+ a/ G2 K4 h1 {
1 M" p) @6 _8 n0 y+ J7 x0 x! Wcurrent_design $active_design $ N2 d0 S! L) R( e6 \
create_clock [get_ports clk] -period 4 -waveform {0 2}% U2 b" f6 g; }- @- o
set_clock_uncertainty 0.3 [get_ports clk]" [7 g' \; Y. I4 q
set_clock_transition 0.3 [get_ports clk]
" O- k  M% A5 aset_fix_hold [get_clocks clk]
4 ^2 J; A9 w5 q& yset_dont_touch_network [all_clocks]- @% ~$ V: F3 o/ W3 D2 V  w; g
set_drive [drive_of "CCU90mt1_05V_FBB_0909/INVd1/zn"] [get_ports clk]
. x* }' F# t5 a, ?4 C" V$ Icreate_generated_clock  -name clk1 -source clk -divide_by 1 [get_pins clk_generator/clk1]8 r1 S/ @) y5 m9 F9 P
set_clock_latency 0.375  [get_pins clk_generator/clk1]
8 b; c! b0 J( P! X% J: qcreate_generated_clock  -name clk2 -source clk -divide_by 1 [get_pins clk_generator/clk2]
6 _8 v2 k8 b7 j8 n" F, e. m" |set_clock_latency 0.75  [get_pins clk_generator/clk2]1 x' b1 H2 I, N. Z9 T
create_generated_clock  -name clk3 -source clk -divide_by 1 [get_pins clk_generator/clk3]
+ L3 r$ ~( P8 e2 g+ A# r/ y6 Oset_clock_latency 1.125 [get_pins clk_generator/clk3]
* Z3 v$ F' ?# o3 C  g2 `
8 ~, a' u: }. K2 W. ^# n5 ?1 x結果我的clk tree只有最原始的clk
9 n9 X$ x" X+ |5 P. a9 q- U; E; Qclk1 clk2 clk3 都沒長出來& |  B4 l9 c2 I. J  E3 t0 e5 f
不知是那裡用錯了或遺漏了 " R4 }# [  {2 W! R  B" y
或是encounter clk沒設定好  s  b8 t* j( B4 x) ~" Q% a
用了很久都用不好  G6 N- K# \* K& B
只好請教各位
: h% ?4 A, h7 I- C萬分感謝
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-21 04:49 PM , Processed in 0.109014 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表