Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4478|回復: 3
打印 上一主題 下一主題

[問題求助] 請問virtex-4這板子的clock該怎麼用

[複製鏈接]
1#
發表於 2009-6-3 15:31:37 | 顯示全部樓層
DCM 沒有辦自行產生clock, 須邀一個基本的input clock (on board clock signal)+ G- P, u- _) z  r$ j8 s' m
假如你是用base DCM的話$ k: }4 g' f) J  a% F
DCM input clock 是 20MHz & i1 R* `6 H. k& Y& ^" p) D
CLKFX_MULTIPLY(X) "X"設成5的話 CLKFX(CLKFX)的output就會是100MHz
2#
發表於 2009-6-5 11:44:49 | 顯示全部樓層
我建議你用DCM 會比較簡單
( F7 t4 r6 V1 n6 S9 B3 p; b& N# y& L% o! Y0 X9 d' y5 i6 a$ G
當然你耶可以用external oscillator 可是出問題的時候會比較難debug
, n/ [1 A- A. y, |: t+ f: W因為也有可能是你的external oscillator 的clk signal被干擾了
7 o8 u% T& J+ ?& V: Y* {- n外加你如果要用external oscillator 你還要設定UCF (user constraint file)

評分

參與人數 1 +5 收起 理由
justfun00 + 5 感謝啦!

查看全部評分

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-19 01:44 PM , Processed in 0.105013 second(s), 21 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表