Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 8901|回復: 9
打印 上一主題 下一主題

[問題求助] How verilog HDL to schematic?

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-5-25 17:31:45 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
各位高人,在下需要實現這個目標:
: E$ {0 W- Y3 K0 k/ v- I我有一段Verilog HDL code,作用是做控制.我希望有軟件能把我的code轉換成實際的邏輯電路,這個邏輯電路不是用CPLD/FPGA這些做成,而是用最基本的NOT/NAND/DFF做成.至於NOT/NAND/DFF用什麽做不重要.
5 @# t- z7 v# f+ n- ]6 X& J接觸的DC,synplicity在synthesis的時候需要選擇CPLD/FPGA的device,不是我需要的.
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
9#
發表於 2009-7-4 00:40:04 | 只看該作者
NOT/NAND/DFF, G. g; ~3 \( t5 @
打个比方:在tsmc流片,那么除NOT,NAND DFF外,其余全部射程dont use,综合,是否满足你的要求?
8#
發表於 2009-6-4 13:31:07 | 只看該作者
跑一下ASIC standard cell flow後export出hardcore整合$ P2 Y$ \4 G. n; O# z
也算是不費吹灰之力的做法, 如同 "沒聲" 大大講的, 只要你要下線, 沒什麼是fab independ的1 t' ]* a+ U/ `$ l7 i1 P
換fab最麻煩的應該是analog電路部分才對
7#
發表於 2009-5-27 21:41:59 | 只看該作者
合成的tools也是用 驅動能力 時間延遲 (cell delay RC wire load) 種種製程特性 來最佳化邏輯閘 達到Area和Time delay 的平衡點
8 E) w. ~6 k/ e0 f只要是synthesis 就會用到製程參數 輸出的schematic 也必定為製程相關的 logic gates
- k* \9 g6 N, i4 C9 {0 y0 v# z  u& k+ k) U. r9 J( Z
至於你所說能用HDL寫好邏輯 可用HDL Compiler 可以在 Design Vision 圖型界面看到 logic gate 或是 jerryyao 大大所提之那幾種軟體均可7 v" f7 v2 E& n* p2 r3 F

& R$ R- ]7 l# ?2 a: l但是這些 HDL Compiler 當初並不是單純要輸出 logic gate 給 schematic tool 用 而是要給自家的合成engine做 logic輸入, e" j# A; C( I% U- K# I' M  z
所以要整合到mixed mode ic 的 MOS level 電路圖 還是要寫些程式來鏈結 這就要去看那些Compiler出來檔案的內容嘞
6#
 樓主| 發表於 2009-5-27 20:32:17 | 只看該作者
原帖由 masonchung 於 2009-5-26 10:19 PM 發表 6 N; c5 r! E, @) i# n% C- {
用合成出來的數位電路 在mixed-mode的ic來說是太浪費gate-count嘞
. j0 l! R+ c4 D* x- [我以前作mixed-mode IC 都是自己兜 logic gate
, a" E  P8 }8 ~& A  [1 Q1 i
遇到略微有點複雜的logic我自己"兜",還是感覺有些困難.現在有HDL這個強大的tool,能用來做簡單mixed-IC的digital部分也是一把利器.我是希望能用HDL寫好邏輯,之後用synthesis出來實際電路schematic,這種方法是設計不簡單也不複雜的digital的捷徑.目前看來還沒有什麽tool可以有independent于fab 的設計過程.
5#
發表於 2009-5-26 22:19:52 | 只看該作者
用合成出來的數位電路 在mixed-mode的ic來說是太浪費gate-count嘞
4 r, \1 x/ U' M: C# l( z5 q2 c我以前作mixed-mode IC 都是自己兜 logic gate
" j; ?9 J. ~" Y, ~  o
; }% W- n! I7 \' f$ y; {[ 本帖最後由 masonchung 於 2009-5-26 10:24 PM 編輯 ]
4#
發表於 2009-5-26 11:15:23 | 只看該作者
原帖由 hycmos 於 2009-5-26 10:55 AM 發表
5 s0 t4 k/ H% j  p+ g+ Q4 rsieg70,4 ?" x+ z  }' H) ^( m- u- B" X& ]

9 z# c- t. g/ g6 W9 m# z) ^4 U1 ]該算是ASCI.其實我做的是數模mix的IC chip,其中analog部分占大部分,少部分是digital的,就是這少部分的digital需要被synthesis成實際的NOT/NAND/DFF/這些cell./ Q1 w9 S3 ^3 h6 ], h" R3 ^7 W
我們現在使用一家fab的lib文件,采用DC來syn ...
, |& v2 `4 t0 |

" ^+ d& Q3 @9 ~7 B- Ccadence rtl compiler 及 magma,mentor的工具都是其他選擇。6 Q, L5 w0 s$ |8 d) o( A3 P) _
此外,目前的synopsys還有其他選擇喔。
3#
 樓主| 發表於 2009-5-26 10:55:51 | 只看該作者
sieg70,: O/ J& F4 g, u" M
1 d# W: j) C+ {% I( }* p2 L
該算是ASCI.其實我做的是數模mix的IC chip,其中analog部分占大部分,少部分是digital的,就是這少部分的digital需要被synthesis成實際的NOT/NAND/DFF/這些cell.
; g1 Q; o7 O) P8 F4 s, D/ v我們現在使用一家fab的lib文件,采用DC來synthesis出digital電路(MOS).6 H. N  I3 z; ~& G) o3 k
實際上我是希望有independent于fab的lib,這樣synthesis出來的電路只是看到NOT/NAND這些.* o' _4 p' H# ?9 d+ u( R# V
BTW,除了DC,其他的tool可以做嗎?
2#
發表於 2009-5-26 10:06:47 | 只看該作者

回復 1# 的帖子

若不是用CPLD/FPGA, 那意思是要下線作ASIC囉?
) P6 ^0 o" ~! S  D9 i5 G3 @# K6 @% a7 A8 S6 p
那可能要跑跑cell-based design flow. . J/ v. i' h* h% r& I
" C0 Z' L5 ]% H4 h& X- v
Design Compiler也可以派上用場
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-14 10:26 AM , Processed in 0.113006 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表