Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4566|回復: 1
打印 上一主題 下一主題

Cortex-M0+ IP Core

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2014-3-7 11:22:50 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
本帖最後由 sinoicboy 於 2014-3-7 11:24 AM 編輯 . B& s4 W# T. `* r7 a
1 x3 E+ h: X9 A; l! U$ `1 c
! r8 E$ O7 @; W7 w5 X& z) m- M9 |

' n) a" T* z- |3 w0 t3 _/ Uiconstart@gmail.com
! i3 ^0 s  P9 l* H# O6 G7 F6 U5 ~$ G* \! C
                                  AR085-DA-70000-r0p0-03rel0/  S1 G/ t. C2 H0 a
                                  AR085-DA-70000-r0p0-03rel0/doc/" G5 G5 t! u0 B
                                  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/+ t. d9 n  @& z
4af79e0557cc9c6863c2eab0f890aaad  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/DDI0419C_arm_architecture_v6m_reference_manual.pdf* T# Y% k3 U7 N9 e4 I, @  C% |, ?
                                  AR085-DC-11001-r0p0-04rel0/
- D# B0 n, o2 a5 {                                  AR085-DC-11001-r0p0-04rel0/doc/
# h, u* C' O  E6 J: s7 P3 F. A                                  AR085-DC-11001-r0p0-04rel0/doc/errata/( ]# C+ l2 c, p7 U" b4 J8 c
57ce61d69a56fae9dff02a664dc0930a  AR085-DC-11001-r0p0-04rel0/doc/errata/ARMv6-M_Architecture_Errata_List.pdf
+ N# S9 Z  t- D6 g                                  AT590-BU-11001-r0p1-00rel0/( l5 p) t% ]7 Z- I" R3 t( W9 \
b2bb2db05b71fa9e2ecd4c2841c22f3f  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Product_Errata_Notice_v4.pdf
9 @8 w4 I4 n1 ]5 Gd305236940b52b92b81e8579435efe7e  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Software_Developers_Errata_Notice_v4.pdf
' c  @) A; q. i3 H- J2d80706f7a099ac4a9674908c50d730e  AT590-BU-11001-r0p1-00rel0/AT590-BU-11001-r0p1-00rel0.lst
4 h! |% s. d. b7 k( M! m) X) F                                  AT590-BU-50000-r0p1-00rel0/
2 Z, b' n9 a) O% t& @& g! ]70404309a7929774e3bd8786e10771eb  AT590-BU-50000-r0p1-00rel0/ARM_Cortex-M0+_r0p1-30rel0_ReleaseNote.pdf; s5 y6 G4 g  [; [! g: E6 z
                                  AT590-BU-50000-r0p1-00rel0/logical/
4 k3 K8 `1 Z" L                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/
0 b( e3 m$ t6 Z" T7 [                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/& V, o7 ~# T% |8 D1 Q: w
80fe9cfaeb5e260f70aebfcac0bdf888  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_cdc.v. D& m. b( z- {; L6 ~% P
063cdb1c994aca1f31971a3d52da426d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw_defs.v6 D( F, n; k% R- B
17f0e782572ea85e916a26ef804106ac  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag_defs.v4 k$ M6 _5 v  Z: Z9 s
db6b0ced453f9ba83035ca0b2d31c55d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast.v
3 n! D6 Q' V% r" q12e85d89d377ef33f7a41f5f49bf20f0  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag.v" X3 U" J( g; k: \/ y% C: k
bb3812a6b967f1ffaa604a858064f1d6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_top.v9 v& b0 b$ w( G
2b71a63c5d6843c6a0c64972ca0a3483  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp.v' L9 I- o  ^5 V" s. R, f5 d- f: V
fc6a96af9879ce4ccb0c150913d38ab5  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_cdc.v) D0 m, h6 q- }1 ?, }
72017024f98163d43c2f77e920560bd3  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap.v- M: N$ w$ J, U
6ca54cbd04210e471b30aaca343e1966  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast_defs.v# I+ u* I* a  Y! _- @7 |: K' J
764fcf3fa6ce572a3325f069a591baf6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_pwr.v
$ g  T/ a  M+ g! v3 h, c( [8 g3ffb32cddb6a7e19f9f91e437f7ca59b  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/CM0PDAP.v
6 S, m4 n8 Z% \75d1d91ab79ae9e0e044ed1ef71cf03e  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw.v
/ G% d5 f6 p3 ~9 _+ J                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/
* g  @2 {: R# U" x! T% K% Y                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog// \" r- _) W8 P* x9 I
95d54f552eade07ede322600da1f95c3  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_bpu.v( F7 s$ i6 H2 N8 T
302c07875f4fc2cfe52c087ca6429cc0  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix_sel.v, B, I# B* Y* L& D
fce226f9ab48e92ff074fcad3dec6728  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_sel.v
3 Z5 }& _" b/ Dc00549e61aae47696609028230712dd6  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_ctl.v# t. t3 @& k9 O) a8 `
ed481eab3481c71d4e2dc94531b88a3d  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_nvic.v
, Z: d, Q! |, \; z6 [57a19fb958028b39c425e6ac68f882aa  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_sys.v6 R! c1 E. x! m
66bc815f02ad900042cec326a6679963  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_dwt.v- R. z0 H& u0 u
62d60f5f2bceb3ce3f82e8fcb48446ff  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_mpu.v
; T1 ]( V, t- p: ~! t' T% W4b054567197e5f54d3bacf492271f4c9  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix.v
4 d# U& J2 A4 _/ W% m4 `0 Fa436b897620bcd65a4f6f7d50345215e  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_clk.v

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
推薦
發表於 2015-3-11 14:42:28 | 只看該作者
thanks! do you have source codes for M0? It‘s very great for sharing the code。my e-mail is 114142500@qq.com,thank you very much!
回復 支持 0 反對 1

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-15 06:20 PM , Processed in 0.121015 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表