Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 19589|回復: 25
打印 上一主題 下一主題

Lattice的CPLD特性

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-12-2 09:37:21 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
之前有用過altera的CPLD,不過altera的CPLD並沒有辦法在軟體裡設定某根pin power on後在code執行前的位準,那想請問在板上有用過Lattice  CPLD的前輩,Lattice  CPLD有沒有辦法做這樣子的一個設定 ?
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
26#
發表於 2014-1-27 10:55:56 | 只看該作者
萊迪思半導體位於菲律賓的分支機構獲得產業認可的ISO9000認證. T% U) \8 {+ p/ G, y/ q1 u
–萊迪思位於馬尼拉的運營中心是公司最新設立的分支機構,這一重量級的認證是對我們追求卓越的認可–( {% C7 o- w8 O$ ]2 J5 t
# }: k, F) J$ O  v, u! Y$ a. v
(臺北訊,2014年1月27日) 萊迪思半導體公司宣佈位於菲律賓馬尼拉的分支機構獲得了ISO9001:2008認證。ISO 9000 標準體系由國際標準組織(ISO)制定並發佈,明確驗證了品質管制系統的要求。萊迪思位於馬尼拉的運營中心是公司第三個獲得ISO認證的機構,展現了萊迪思無論在何地都致力於追求卓越品質的精神。& ~  f+ n: M, B  z5 z1 h
3 i# |6 o2 Q. O/ e" u# w
ISO是全球最大的非強制國際標準制定者,為眾多企業提供了針對產品、服務以及實際操作可遵循的最新標準。這些標準的制定基於各國間的共識,有利於打破國際貿易間的障礙,提升產業效率。
! P2 S! {/ l2 _  q$ \3 h. t) l- c# B4 y& _
「萊迪思致力於幫助客戶及合作夥伴提供高品質的產品,進而嚴格控制尺寸、功耗、成本和進度,向市場推出創新的產品,」萊迪思半導體公司品質和產品開發部副總裁Mike Orr說道。「全球的萊迪思團隊都在取得嚴格的ISO國際標準認證上做出了貢獻,進而讓馬尼拉成為了公司第三個獲得此項認證的機構。」
/ K* U* i) f3 U3 u' t
3 Q: a5 T/ y# J) N: u- m萊迪思位於馬尼拉的運營中心致力於研發、分包商管理和工程設計,作為公司立足於全球的重要部分,確保全球的客戶都能獲得萊迪思提供的最好的產品和服務。萊迪思的業務遍佈全球,能夠參與到各產業的專案中,並與當地的公司合作,為產業帶來我們的客戶和合作夥伴所關心的更深層次的創新。
25#
發表於 2013-10-24 10:53:32 | 只看該作者
感測技術解決方案3 `% H3 s! W7 l9 h. |1 A5 J/ K. \
除了提供基於iCE40LM FPGA 感測的參考設計外,Lattice並與業界領導感測技術IP廠商合作,以確保設計師擁有完整的解決方案,並能在其設計中執行正確的運算法。iCE40 FPGA產品系列主要IP支援包括採用LED的條碼模擬解決方案、全球通用IR遙控控制解決方案,以及可感知使用者動態及移動位置的感測技術。
  {3 k. J: t* i  M5 i  ]
( l/ e! ]* _9 c0 c8 A; T6 m設計及開發支援
/ S5 t) d% \4 C! [7 R$ v0 P) N所有iCE40裝置皆可採用萊迪思於2013年8月推出的iCEcube2™設計軟體以及Lattice Diamond® Programmer v3.0。簡易使用的iCEcube2軟體乃專為提供行動設計高生產性、整合開發環境及優化萊迪思iCE40 FPGA架構而設計,可提供行動設計師世界級設計流程。Lattice Diamond Programmer可與iCEcube2軟體相容,進而協助萊迪思裝置編程,簡化通用流程,包括設定如頻寬、埠等裝置資訊,選擇編程資料檔案使用、編程單一或多重裝置等。: d& _7 |, p% |5 q( Q
# f( J3 w. n& T; C7 j/ v
價格與供貨; G7 l4 V) U7 r" i( I) _; N/ S! w
此全新裝置目前已進入生產階段,並透過萊迪思代理商合作夥伴提供。大量訂購價為低於1美元起。
24#
發表於 2013-10-24 10:53:20 | 只看該作者
全新iCE40 FPGA由於體積極小,可在單一晶片中整合各項進階功能,例如IrDA、條碼模擬、服務LED等,亦有邏輯供其他客戶客製化功能使用。此外,相較於傳統處理器方案,萊迪思iCE40LM FPGA解決方案可降低功耗至一百倍,進而提高電池壽命,為終端使用者帶來更多產品價值。% ~& I# r  t6 _
1 V- [) ]! A6 s" x, S- U# C7 t
萊迪思超低密度系列資深產品經理Joy Wrigley表示,「結合超低主動式電源與全球最小感應管理解決方案,可創造出全新智慧裝置,可感知所在位置與功能。我們不斷投資於封裝技術,進而整合更多功能並縮小系統尺寸,協助OEM廠商在行動系統裡,以平實價格整合更多種類及數量的感應器。情境感知確實改變行動產業局勢,而iCE40LM感應器解決方案可協助設計師創造差異化」。
* {3 @  K* S  ?7 V1 N9 `7 y& f. `# D: S1 N' }( ^$ F; @
iCE40LM FPGA可使行動裝置系統工程師在各種設計裡只需使用單一簡易平台,即可增加或客製化感應管理功能與能力,新增產品包括iCE40LM 4K、iCE40LM 2K、iCE40LM 1K FPGA,期功耗極低,在主動模式內不到1 mW。
' g3 g0 n* _# x3 b2 a' \+ a! d' E8 D5 @8 Y
此外,在iCE40LP FPGA產品線的iCE40LP 640 FPGA與iCE40LP 1K FPGA內,亦增加全新16球晶圓級晶片尺寸封裝 (WLCSP, wafer-level chip-scale ),進一步縮小iCE40系列產品尺寸。新產品採用先進的0.35毫米錫球間矩封裝,僅1.4毫米x 1.48毫米x 0.45毫米,而其輕巧尺寸對物聯網及隨時運作的應用為關鍵配置因素。
23#
發表於 2013-10-24 10:52:55 | 只看該作者
萊迪思推出全新超低密度FPGA可提供情境感知行動裝置 隨時感測解決方案
4 q" F( R; x9 y% }0 J4 K-全新iCE40 FPGA可大幅降低100x功耗 其超小型面積可配置於各種應用 實現隨時感測整合性-) g! M3 B: v1 j# [6 [" k
) c$ |+ `0 X# M

; r  u3 {+ f# B( h/ _; X(臺北訊,2013年10月23日) 萊迪思半導體今日推出全新超低密度iCE40™ FPGA,提供全球最具彈性的單一晶片感應解決方案,創造新一代情境感知、超低能耗行動裝置。新增iCE40 FPGA系列產品幫助客戶以更小空間整合更多功能,只需1.4mm x 1.48mm x 0.45mm的空間,即可以平實價格縮小控制板空間與系統複雜度。 ' R+ M0 p" B+ @5 I/ W) w
+ S, H+ V' x2 }4 N# I4 b. d
全新iCE40LM FPGA採用硬IP,適用於閃控產生器、I2C與SPI介面,為行動市場帶來幾近零延遲標準,建構情境感知系統與即時捕捉功能,讓用戶與環境輸入誤差降至最低。此全新FPGA可協助設計行動產品平台,並依據動態、移動方向、地點及其他環境互動方式,創造豐富的媒體經驗。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
22#
發表於 2012-12-19 15:42:51 | 只看該作者
專為創新行動用設計的FPGA
# f0 g; e$ ]7 o現今消費性子和行動產品設計往往需要在很短的發週期內,實現的差異化功能,此壓力讓設計人員更賴標準晶片,使用處理器的負荷增加。但是,這也使計人員面臨一種難的處境:應用處理器晶片組需要兩三年來開發,這味著任何現有的處理器都是兩、三年所制定,與消費需求的變化速度相比,這段時間實在過漫長。
( ?& U& u) Y, N% e
1 U% R# ~5 N1 D* J. W1 s4 C4 J因此,費性電子和行動產品設計人員為了滿緊迫的開發時間求,必須使用現成的晶片組。然而,的應用處理器往無法滿足現今的市場需求。
& ~* b0 Y% j. m" t2 M; v" }3 t9 y3 F% Q% r! L6 s
其中一個解決法就是使用FPGA作為應用處理的配套組件夥伴,讓設計人員可以迎當前消費性電子用的需求,並且無需等待幾年後才會現的晶片組。但,直到最近,這個方法已經不再是選之一。因為對消電子裝置而言,FPGA太大、太昂貴太耗電。然而,專門為小尺寸、低價對功耗高度要求消費性電子裝置而設計的超低密度FPGA,如萊迪思的MachXO2和iCE40組件可為應用處理器的配套組件,讓設計人能夠不斷追求行應用的創新。* o0 o- P/ Y. ]. H8 ^! B: [
6 a/ d% ]4 F5 l- j$ |, b5 Y" m. q
- ]# Q5 k0 v/ n* F% l$ K& r
行動應用創新展示範例. W/ p+ H5 u% P. I/ w( {
在萊迪思展廳參觀者將有機會接與萊迪思的資深主管和專業技術人進行設計相關的論,還可觀看使用萊迪思公司各種超密度FPGA組件設解決方案的展示,包括:6 G* `; M8 @( n8 @+ z: ?0 J6 P

6 v$ u( x1 z+ \8 m% U) G7 _·         MIPI CSI-2像感測器橋接 (image sensor bridge) 解決方案,解決方案可滿足低成本、高品質的影感測器需求,能泛應用於家庭安全監控攝影機 " S9 @5 J0 u! J4 T9 i* Q8 M2 q- l
·         智慧測集線器設計可管理感測器通訊,最限度地減少應用理器的工作負 $ M  N# W# a* G9 p9 m% |! B3 v
·         轉換算法,以裸視的方式將標準的2D視訊轉換到模3D
4 O4 s; [0 \& c·         用於遠端定位距ISP達10尺的攝影機影像感擴器,該應用是在尺寸電視上增加影鏡頭的理想選擇
21#
發表於 2012-12-19 15:42:48 | 只看該作者
萊迪思iCE FPGA系列產品出貨量達到1千5百萬片 –萊迪斯將於CES 2013上展示此達到歷史出貨量的超密度FPGA– % m; N+ i; w) g4 {/ r

- u2 b5 u- A* u5 Q: ]0 f(臺北訊2012年12月19日)-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈從2011年12月以來,iCE FPGA組件出貨量已經達到1千5百萬片,包括超低密度的指性產品iCE40? FPGA系列,此系列組件也為萊迪斯公司過十年來出貨最快的產品。此優異的成反映出iCE40組件正以前所未有的速度被應用到行動消費品上,而該領域占了絕大部分該組件的出貨量。8 n( ^# J7 |! h1 l" \1 d, s
4 j5 p3 M6 e& C  {% C9 T: y0 c
萊迪思半導體公司將於1月8日至11日在拉斯維加斯舉辦的國際消費電子(CES)期間舉辦以行動應用創新為題的私人見面會屆時將展示多款採用iCE40組件的應用。萊迪思展示廳位拉斯維加斯酒 (Las Vegas Hotel) 東樓 (East Tower) 2980號套房。若您希望預約時間參萊迪思展廳,並解創新的行動應用如何解決具體的設挑戰,請造訪2013國際消費電子萊迪思創新行動應用網站進行註冊,或與經典公關新聞聯絡接洽。* B8 u- a$ h* k& X1 \7 [7 u

) W* W4 [6 y# R, E; N/ qiCE40 FPGA系列針對功耗成本和空間所做設計和應用都有嚴苛的要求。iCE40系列產品採用了本和功耗都經過高度優化的結構,是慧型手機、平板腦、數位相機等終端產品,以及其它間和功耗受限系的理想選擇。iCE40組件可被導入於多創新功能中,如感測器控制、處理管理,還可分擔用處理器處理視訊和影像的工作,並夠客製化連接器擴充記憶體和存儲空間。9 A3 v4 X; p) x& M$ q$ N
! ]& v' I0 x( ?* e& P& V
萊迪思企業??市場行銷和業務開發副總裁Mustafa Veziroglu 示,「萊迪思做了相多的投資,致力於開發可滿足行動和費電子產品設計求的各種低功耗和小尺寸FPGA,而我們也是一一家專注於此領域的供應商。 使用我們的FPGA,設計人員無等待新一代的應用處理器問世,即能實現創新的功能這也意味著他們所設計的產品能夠更地進入市場,滿消費者現今想要的產品功能。我們非高興iCE40組件獲得了如熱烈的迴響,並且被廣泛應用,再次固萊迪思在於超密度FPGA市場的領導地。
20#
發表於 2012-10-30 09:58:10 | 只看該作者
適用於複雜系統控制和介面設計原型開發的萊迪思MachXO2控制開發套件 & H$ T2 @; \' Q9 \% z# ~! w  C* F
– 以MachXO2-4000HC FPGA為基礎的開發套件,具有可程式設計特性,且易於使用,有助於簡化電子系統設計–4 w+ B: y. `; n' Z/ v
3 Q9 ]# N! m! i
(台北訊,2012年10月29日)-萊迪思半導體公司(NASDAQ: LSCC)宣佈推出MachXO2™系列超低密度FPGA控制開發套件,適用於低成本的複雜系統控制和視訊界面設計的原型開發。新加入了MachXO2-4000HC組件,包括4,320個可程式設計邏輯的查閱資料表(LUT)和222Kbit單晶片記憶體,滿足了通訊、計算、工業、消費電子和醫療市場對於系統控制和介面應用的需求。" h, x8 R9 x1 B
2 f% j4 ]$ q  s% p0 V
工程師們可以將MachXO2控制開發套件用作一種低成本、全功能、用於系統控制功能開發的開發平台,如電源定序和監控、重定分配和資料記錄。該套件內建的DVI和7:1 LVDS(Camera Link)視訊輸入和輸出連接器,也是視訊界面和處理設計的理想選擇。控制套件讓每塊電路板上容納更多感測器、促動器和指示器來滿足複雜系統的控制和介面需求。MachXO2-4000HC組件的可程式設計邏輯和單晶片記憶體還能實現高品質影像處理和緩衝等功能,適用於低成本視訊應用。1 M& a+ @& O- `& S
4 I+ F* b# y6 A/ T. T
該套件也提供了其他豐富的內建系統資源,協助工程師構建實際系統原型的數位和類比控制、人機界面(電容式麥克風、揚聲器/耳機、LED)和用來連接到外部設備和系統各種介面(USB 2.0、microSD、GPIO)。除此之外,工程師還可存取電路板上萊迪思Power Manager II POWR1014A類比/數位電源監控器和時序控制器、4 MB SPI快閃記憶體和256MBLPDDRSDRAM記憶體。" q5 O  O& o' ~' P: b$ n" G
; q4 _1 S4 L* W  F5 R
萊迪思企業和產品市場部資深總監Brent Przybus表示,「客戶持續在使用MachXO2系列組件來處理越來越多的系統介面,並利用低成本、低功耗的可程式設計特性導入智慧系統控制。控制開發套件簡化了這些系統的原型設計,並證明了MachXO2系列產品在嚴苛的市場要求下,還能在各種系統控制應用中被廣泛的使用。 」
! x& Z. K- Z8 k5 u* c+ [
+ u/ A% C( y  F0 P9 H3 N8 a& m參考設計和易於使用的開發套件
4 A  O$ ]( _" `: F$ c4 O7 o& ?! Q% [8 [. I4 W! s) Q: ^
為了縮短開發週期,萊迪思提供了超過35款廣受歡迎的參考設計和IP核、一塊低成本的分接板(Breakout Board),還有易於使用的Pico和控制開發套件,這兩套開發套件可針對使用MachXO2 FPGA開發出的成本敏感、超低密度的設計進行原型打樣,使用控制開發套件中預載的單晶片系統控制設計,工程師們可以使用其中的Power Manager II POWR1014A組件和8位元LatticeMico8™微型軟體控制器在幾分鐘內測試電路板控制功能,如電源定序、重置分配、電源監控和資料記錄,讓工程師們在探究其他設計選項的初期,立即就能上手。
( d5 a  ~; l! t) n2 t定價和供貨情況
& G& y3 H  I2 ~( o8 b" t7 H" q# {3 y
+ ~: S+ `2 g5 A  W, u: @: \4 ~MachXO2控制開發套件已經上市,建議售價為189美元。所有MachXO2 FPGA產品皆符合量產標準,並已從2011年起開始出貨。
19#
發表於 2012-10-18 13:26:30 | 只看該作者
iCE40 FPGA產品家族持續得到業界肯定- 超低密度的FPGA角逐「 年度數位半導體產品」獎 - 7 F8 @  D+ n! ]( i

) g" j( a0 F: k) D9 {* {( [$ D(台北訊,2012年10月18日)-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈其超低密度的iCE40™FPGA系列被提名入圍「年度數位半導體產品」Elektra獎的決選名單!在獲得此榮譽不久前,iCE40 FPGA系列產品才因為其節能和節省功耗的特色榮獲e-Legacy的「環保設計」獎。
$ v. B1 V. y. e! u) {. Y3 C& o) w0 i  B& f
此獎項的決選名單是由2012年Elektra歐洲電子工業獎的獨立評審小組遴選脫穎而出,並將於12月12日在倫敦威斯敏斯特橋公園廣場 (Park Plaza, Westminster Bridge) 的Elektra頒獎晚宴上宣佈獲獎者。Elektra獎可說是電子產業的年度盛會,該獎項不僅讓個人的成就得到認可,也為橫跨歐洲的企業提供了受表揚的機會。 , s( X8 I8 h1 \; N. w; u
5 g9 I+ h; ]4 o& e7 P0 Y
萊迪思資深企業和產品行銷總監Brent Przybus表示,「我們很高興能獲得Elektra獎獨立評審團的肯定。我們創新、超低密度的iCE40 FPGA持續獲得各界的掌聲,其多功、低成本和低功耗的特性也受到市場廣大的歡迎。超低密度iCE40 FPGA產品家族正在為FPGA開闢新的市場和應用,其中包括廣泛用於消費性電子和行動電子產品的設計應用。」 : ]6 p1 c: N1 z# g" A2 J7 \, C( e

7 F& B& f4 k! W6 w: f關於iCE40 FPGA系列
9 p1 f# N) i- n/ Y& U  R1 C& o8 M% A7 r6 i2 P
iCE40 FPGA產品家族專門針對對於功耗、成本和尺寸都極度要求的應用而設計。對智慧型手機、平板電腦、數位相機,以及其它被空間和功耗限制的應用來說,iCE40 FPGA產品家族的成本優化結構,為這些應用提供了理想的架構。 iCE40 FPGA產品家族針對感應器管理、視訊和圖像、客製化的連結、記憶體、擴展儲存空間和粘合邏輯(Glue logic)等應用都是理想的選擇。
18#
發表於 2012-7-18 16:06:16 | 只看該作者
對所有萊迪思組件的支援除了SynplifyPro和Active-HDL的OEM版本外,還包括SynopsysSynplify Pro、AldecActive-HDL和Riviera-PRO的完整版本。 Mentor Graphics的ModelSimSE和PrecisionRTL的綜合版本也支援萊迪思組件。
! T: t0 t) {) x% f+ I% L5 u" S  D, Z9 ?' a* w" G6 a( B9 S
支援的作業系統) C8 J5 c7 N' `' O
) s4 w. ~, J; {2 g& Q2 h7 f% L. y
Lattice Diamond2.0軟體支援微軟的Windows XP、Windows Vista和Windows 7作業系統,現在還針對Windows 7的64位元應用增加了記憶體容量。對於Linux用戶,現在Lattice Diamond2.0能夠在第5和第4版本和上Linux Red Hat 6運作。 # I- q! E$ k0 ^. P* O

! }( I# s7 N1 i" x+ N* }6 w定價和供貨情況
, t$ `5 S6 w5 I5 a* s0 k$ L) @+ a: P# i: t. l* f
針對Windows和Linux作業系統的Lattice Diamond軟體可以從萊迪思的網站下載http://www.latticesemi.com/latticediamond/downloads/。一旦下載安裝,該軟體就可透過Lattice Diamond的免費授權或Lattice Diamond訂閱授權進行使用。使用者在萊迪思網站提出申請後即可取得Lattice Diamond免費授權。透過此授權將可免費存取許多熱門的萊迪思產品,如MachXO2、Platform Manager™系列、綜合版本的Synopsys SynplifyPro以及Aldec萊迪思版II混合語言模擬器。
9 k4 I4 D0 g6 A8 T7 U
7 A# a0 c7 s7 k! V2 a7 y透過購買Lattice Diamond訂閱授權將能夠使用免費授權的所有功能,包括所有萊迪思的FPGA,如LatticeECP4組件(不包含LatticeECP4-95組,該組件目前只提供給部分客戶)。Lattice Diamond訂閱授權的價格為每年895美元。
17#
發表於 2012-7-18 16:06:07 | 只看該作者
更加易於使用4 l) T0 C2 V: O/ K/ c- z( G

# D+ H' D2 w2 i& }; X% M9 @) YLattice Diamond軟體是一個直覺式的設計環境,使用者能夠更迅速地完成他們的設計。為了協助識別並修正在設計流程初期會遇到關於引腳的使用問題,Lattice Diamond1.4版本導入了一個新的引腳-Design Rule Check(DRC)引擎。使用Lattice Diamond軟體2.0版現在就能夠檢測到額外、未正確使用引腳的情況,除了支援LatticeECP3、MachXO2™和LatticeSC™組件系列產品之外,對LatticeECP4組件也有提供支援。該DRC引擎可即時或執行指定的工作。新版本還可輸出易懂的報告,提供建議來幫助使用者修正引腳的使用問題。
! B! \( e  P  i9 l4 i
8 w( q" s* t4 k* |& F每個版本的Lattice Diamond軟體都包含了Lattice Diamond程式設計和Lattice Diamond部署工具。透過直覺地導入方式,使用者可以輕鬆地對萊迪思組件進行程式設計,或是依據用戶部署所需的格式,產出適合的組件程式設計檔。從Lattice Diamond Programmer 2.0開始,用戶可以添加自己的SPI快閃記憶體組件到任何新版的工具。Lattice Diamond 2.0的部署工具還提供了更多的嵌入式功能,如嵌入於MachXO2組件系列的I2C,LatticeECP3和LatticeXP2™系列組件的從屬SPI。Lattice Diamond程式設計和Lattice Diamond部署工具都可當作獨立的工具來使用。 . l0 b4 T  G- }; e8 l9 L7 j& w9 Y
0 ~+ e3 Z6 A4 d2 Z. v1 X
協力廠商的工具支援
. K" y9 e: l2 u% Z( m; y3 b9 x1 w
' x$ A9 G, W7 [; G, |/ QLattice Diamond套裝軟體包含了適用於Windows和Linux Synopsys的SynplifySynplify Pro 高級FPGA綜合工具,以及適用於Windows的AldecActive-HDL萊迪思版II模擬器。
16#
發表於 2012-7-18 16:05:17 | 只看該作者
針對新的LatticeECP4 FPGA系列提供先進的資料支援# h9 g, T0 p0 a# u
" d& A  N3 j, @; V2 _
當使用者針對價格與耗電反應敏感的中階FPGA做開發應用時,他們可以在Lattice Diamond設計環境中,輕易地熟悉這套設計方案,這樣的架構非常適用於LatticeECP4系列產品。以早期LatticeECP4-190組件的矽特性為基礎,Lattice Diamond2.0軟體可支援針對時序、功耗和封裝的進階資料。除了可確保低成本和低功耗特性的演算法外, Lattice Diamond2.0版本還增加了一個新的系統規畫工具(System Planner),讓使用者能夠優化LatticeECP4組件中12個6Gbps SERDES通道對於資源的使用。0 ?- c. \. J0 ?: P- D3 |
1 _5 n$ _0 C: n- S
此外,功能豐富的功耗計算器提供節省功耗和待機模式的設置,和預強調(pre-emphasis)的配置一起精準地分析和估計LatticeECP4設計所產生的功耗。2.0版本還能夠生成LatticeECP4組件的DSP塊,而此DSP塊也是業界唯一以FPGA的高輸送量、雙數據速率為基礎的DSP塊,非常適用於低成本、高性能的RF、基頻和圖像信號處理。 : V' t/ y; S2 _$ c6 v: U2 N
* t0 }# J$ u8 j5 V$ B' n
加速產品上市的高效能設計流程$ _2 w: b* @1 {& l! y" \
9 L1 u2 `5 v+ ]# ]4 b
在最短的時間內達到時序收斂是一個重大的挑戰,因為用戶把越來越多的功能放入單片FPGA中。當用戶改變他們的設計時,他們會希望FPGA設計工具能夠保留一些已經取得、由關鍵時序產生的結果,並縮短導入更新設計的執行時間。LatticeECP3 FPGA的用戶現在可以使用以分割(partition)為基礎的增量設計流程,以保留設計的性能,並減少變更局部設計後執行編譯的時間。這個設計流程會重新使用先前編譯的分割,區分出在重新設計過程中未涉及的部分,只重新編譯有改變的地方。 1 _/ S! T% W* ]7 P
9 Z( {7 q* s* i* L+ E# }3 u; D
此外,為了滿足快速的時序收斂,大多數使用者通常會適度地限制他們的設計。Lattice Diamond2.0軟體提供了改良且無限制的路徑報告(paths report),能夠讓使用者更迅速地識別並填補他們受到限制的設計。
15#
發表於 2012-7-18 16:04:48 | 只看該作者
萊迪思推出強大設計工具Lattice Diamond 2.0軟體2 t6 g- m, M9 o; C$ F/ f* P; c: K
適用於新的低成本、低功耗LatticeECP4 FPGA系列產品
" @, n; h9 j' z' a7 S" A2 m4 J8 v-針對時序、功耗和封裝提供進階的資料支援-
2 ~% m) s6 Q9 s6 C! ]8 o* U- W% V- Q# l+ S: F( c$ @
【臺北訊,2012年7月17日】-萊迪思半導體公司(NASDAQ: LSCC)今天宣佈推出2.0版本的Lattice Diamond®設計軟體,為萊迪思FPGA產品提供旗艦級的設計環境。 2.0版本包含了對新一代LatticeECP4™FPGA系列產品的進階支援,針對價格與耗電反應敏感的無線、有線、視訊和計算等應用,重新定義了低成本、低功耗、中階FPGA市場。: `' W* G& {) e

$ W; ^$ s4 P* I* y1 {$ B5 Y透過可滿足快速設計時序收斂(design timing closure)的性能,Lattice Diamond2.0大幅改進了整體的使用者體驗。除此之外,此設計軟體還針對LatticeECP3新增了一個以分割 (partition) 為基礎的增量設計流程。這個新的設計流程將有助於使用者保有設計性能,並減少設計修改後的執行時間。) x  C5 G7 i  m: P- _& O
+ a- G% I' j: F1 G7 D
萊迪思的軟體行銷總監Mike Kendrick說道,「Lattice Diamond 2.0軟體包括一套完整、易於使用、功能強大的優化設計工具,針對萊迪思低功耗、低成本的中階FPGA獨特邏輯結構所設計。增加的新功能包含:如系統規畫工具(System Planner),可支援萊迪思創新的LatticeECP4架構,並簡化複雜地高速6 Gbps串列資料傳輸解決方案的產物,同時滿足預設的成本目標。」
14#
發表於 2012-6-7 18:13:24 | 只看該作者
LatticeECP4-190 FPGA擁有高速CPRI和SRIO2.1介面和雙數據速率數位信號處理(DSP)模組,適用於構建不同種類的無線網路。 LatticeECP4 FPGA可協助快速建構最新的3G/4G基地台、小型和超微型基地站、微波和毫米波後端接取線路。LatticeECP4-190 FPGA還擁有36個嵌入式時鐘和資料恢復電路(CDR),使用創新的低成本,低功耗FPGA構建高密度埠的交換器和路由器。強大的DSP模組及協力單位智慧產權核的數量成長和參考設計,讓視訊監控攝影機客戶也能夠使用物美價廉的中階FPGA實現複雜的演算法。 7 [& \" U/ @& G: Q

  P- c$ ]) x9 \- f萊迪思公司副總裁暨基礎設施業務部總經理Sean Riley表示,「隨著LatticeECP4-190組件的推出,我們的客戶可以針對無線基地台、後端接取、有線存取、視訊和顯示器的應用實現更複雜的設計,並且同時受惠於組件的低功耗和低成本特性。新一代LatticeECP4FPGA系列為基礎設施的客戶帶來了高級功能,同時保持了業界領先的低功耗和低成本優勢。」
- |+ ?$ `: r( Z* C) K2 [. ^" [; f0 c4 H. n# `
Lattice Diamond設計環境加速了開發時間' g5 t) m) J) T

- O- H" E4 d4 v0 H" y8 d' P; T部分客戶於日前已經獲得Lattice Diamond®2.0測試設計軟體,已經可以立即開始設計並對新樣品進行程式設計。Lattice Diamond設計軟體是針對萊迪思FPGA產品所開發的旗艦型設計環境,提供了完整、功能強大的工具,高效的設計流程和使用者介面,使設計人員能夠更迅速地針對低功耗,低成本的FPGA做應用。此外,LatticeDiamond軟體持續提供專門為低成本和低功耗的應用而開發業界領先的功能。其中包括高精確度的功耗計算器,以pin腳為基礎的同步開關輸出雜訊計算器,和經驗證,有助於確保達到低成本和低功耗特性設計解決方案的MAP和PAR FPGA導入演算法。
13#
發表於 2012-6-7 18:13:12 | 只看該作者

首批低成本、低功耗的LatticeECP4 FPGA樣品正式出貨

創新的6GSERDES、固化通訊模組和雙資料傳輸速率DSP塊 適用於低成本和低功耗的無線、有線和視訊市場應用 7 o6 W7 [$ [! V9 Q2 t% @9 w

" |$ t0 b( b: n: n6 Z5 |
: h9 n6 h* M! j, R; m【臺北訊,2012年6月7日】-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈,新一代LatticeECP4™FPGA系列中密度最高的組件,已經出貨給部分經選擇的客戶。新的LatticeECP4 FPGA系列提供了多種200KLUT以下、低成本、低功耗的中階組件,其高性能的創新突破包括:低成本封裝的6GSERDES、功能強大的DSP塊和內建、以硬核IP為基礎的通訊模組。LatticeECP4-190是這個系列中密度最高的組件,擁有183KLUT、480個雙數據速率DSP乘法器(18×18)、5.8Mbits記憶體和12個6 GbpsSERDES通道,非常適合各種低成本和低功耗的無線、有線、視訊和計算應用。萊迪思已發佈了三個覆晶 (Flip-Chip) 封裝的LatticeECP4-190(676,900和1152 pin腳),可廣泛的適用於各種應用。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
12#
發表於 2012-6-4 16:55:59 | 只看該作者
' Z& R5 h# R4 x  C% u4 I' q
6 M' w/ t" L3 o' x6 P3 Q: C
這些設計都加入了註解、預先驗證的RTL和C代碼,可以很方便地重覆使用,幫助工程師們順利地進行初期導入的工作。有關MachXO2程式設計、配置和EFB固化IP功能,請參考下面的應用文章:/ l2 |" b  b4 S3 Q
  j! q( ?# O9 V* j2 N& A
·        TN1204 – MachXO2編程和配置使用指南
3 K+ T. i$ B$ D9 ?) J·        TN1205 –使用MachXO2套件的用戶快閃記憶體和固化的控制功能使用者指南
* \1 K( o3 k/ u, T* N: z·        TN1246 –使用MachXO2套件的用戶快閃記憶體和固化的控制功能參考設計8 T! {1 O2 ]; `- ^" y

; m1 |' Y$ Q0 P- o( h0 L  ]# I4 l定價和供貨情況8 ?' p4 B+ f& g. `

0 y" Z( m4 E& ~- k萊迪思所有專為MachXO2系列優化的參考設計都可以免費從萊迪思網站下載。MachXO2 Pico開發套件促銷價為29美金,可以透過萊迪思網上商店或萊迪思代理商購買,特價產品售完即止,促銷期至2012年12月31日結束。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
11#
發表於 2012-6-4 16:55:25 | 只看該作者
現在,新的參考設計滿足了下列功能,並延續EFB易於使用、可立即使用RTL代碼等特性,且每組參考設計都搭載了標準資料和指令介面:
" P0 P. f$ H3 e4 i- A% C
( T- I6 f3 E0 D$ R9 {·        I2C-SLAVE(萊迪思參考設計編號RD1124)# I, H1 v$ c2 o1 L  V3 B) Y
·        SPI-SLAVE(RD1125)& j! E8 K6 U) v1 V- A( B% d
·        UFM存取(RD1126)
; q) w4 u7 B: v1 C; p·        嵌入式編程更新(RD1129)# y! t  K: T2 ]4 `& p: `

2 o( a" s& ^6 T所有RTL代碼都加入了註解並經過參數化處理,可以很容易地進行編輯,滿足客製化的設計。( G3 H: E" T; H7 {) z8 I$ x
' s- \' G8 B4 W7 I" ]- s
五個新的演示設計使用萊迪思低成本的硬體開發套件滿足了EFB參考設計,其中包括特價優惠的MachXO2Pico開發套件,其系統組態如下:# N: z- f! a( K* Z
; E* \# L; _0 q9 g0 k) E7 s1 O
·        I2CMaster和I2CSlave(萊迪思演示設計,編號UG55)
3 ^& K3 ^/ I" _' ^5 ^·        SPIMaster和SPISlave(UG56); ]4 @# B, D) S/ {
·        使用C語言和LatticeMico8™微控制器的I2C &SPIMaster(UG54)
) u; c8 [3 ]7 ]·        透過Wishbone匯流排界面的編程(UG57)
8 f" m" H& r" s, h- H·        透過I2C的嵌入式編程(UG58)
10#
發表於 2012-6-4 16:54:57 | 只看該作者
萊迪思推出最新MachXO2 PLD系列嵌入式功能塊的參考設計
0 @4 c' t7 F# M7 o$ `–MachXO2獨特的I2C、SPI和快閃記憶體固化IP導入可重複使用的最新演示設計–' B2 a% `1 {; j- C, D3 P4 [7 L

+ s+ V5 W- m( i7 a- ]9 Z9 W& M
2 ]# S; y, B; E1 X1 ^$ C4 R3 |( V【台北訊,2012年6月4日】-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈,推出適用於低成本、低功耗的MachXO2™系列可程式設計邏輯套件(PLD)的四個新參考設計。新的參考設計簡化並強化了MachXO2套件中特有的嵌入式功能塊(EFB)內置的I2C、SPI和用戶快閃記憶體功能的使用。除此之外,萊迪思還發佈了五個新的演示設計和三個更新的應用文章,重點介紹以嵌入式快閃記憶體為基礎的嵌入式功能塊。
* m; _- f3 r5 F* ]( n- y
7 y) n. `. @$ r' ^! Z& y9 W3 l9 O; `自從MachXO2系列量產以來,數百家客戶已經將EFB內置的I2C、SPI和使用者快閃記憶體功能與微處理器、微控制器、記憶體和其它系統周邊設備,廣泛用於各種I/O擴展和橋接、資料儲存、配置和電源定序等應用。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
9#
發表於 2012-5-11 08:58:01 | 只看該作者
參考設計和易於使用的開發套件' d4 H& B, \6 J+ Y6 R" D, N& W
4 N" z" j" l. J6 m3 K. r0 M
35個受歡迎的參考設計和IP核,以及易於使用的MachXO2 Pico、和控制開發套件縮短了具成本導向、且低密度的原型應用開發時間。使用開發套件提供的預載設計,設計人員可以用短短幾分鐘的時間對I2C、SPI和UART介面進行測試。透過使用免費下載的參考設計原始程式碼,工程師們可以在不到一小時的時間重建這些參考設計,提供工程師們在研發設計時一個好的開始。其他參考設計讓系統控制應用的設計人員能夠快速開發典型的電路板控制功能的原型,如溫度和電流監控、電源定序、故障記錄、重定分配和系統控制設計中使用的風扇控制。
. g9 i; v- a' V  M5 ]" X0 A/ D$ P0 B9 ?, h/ ~6 [" N$ F: x
定價和供貨情況& H# \0 n7 [. }* I$ v
! A/ z3 Z# d: t
MachXO2 PLD已經完全符合量產資格,自2011年開始出貨。MachXO2 PLD系列具有各種密度、封裝和速度等級可供選擇,可以透過萊迪思網路商店www.latticesemi.com/store,和經授權的萊迪思經銷商www.latticesemi.com/sales訂購。對於批量為250K單位,擁有256個LUT的MachXO2 PLD,每片的價格低於0.50美元。
8#
發表於 2012-5-11 08:57:52 | 只看該作者
萊迪思MachXO2可程式設計邏輯套件出貨量達一百萬片 公司歷史上最快被客戶採用的創新、低成本、低功耗PLD系列
8 Z" N9 _( S9 `. A; e9 Z1 ~% a! V
【台北訊,2012年5月10日】-萊迪思半導體公司(NASDAQ: LSCC)今天宣佈自2011年12月發表產品系列量產以來,MachXO2™PLD出貨量已經超過一百萬片。在2012年3月,出貨量達一百萬片的里程碑代表在萊迪思的悠久歷史中,這是客戶最快採用的套件,也是可程式設計邏輯業界的先驅。
7 S$ a7 J% s! P  X/ w; N0 V
2 @1 S2 j' K- Y5 ^5 J. CMachXO2系列的量產訊息發表後不到4個月,第一百萬片的套件已經被運送至全球數百個客戶,他們充分發揮了此產品嵌入式快閃記憶體技術,以及其易用性、靈活性、系統整合和價格便宜等相結合的優勢。 MachXO2的客戶正在使用這些多功能的套件,針對許多市場的各種應用作為基本的設計解決方案,包括消費電子、通訊、電腦,工業和醫療設備的應用。6 g. ~! [9 ?) l2 ]! B9 q: }

/ z- ]  [8 n' ^, n& T" y* h萊迪思策略行銷總監ShakeelPeera 指出,「 在這麼短的時間內出貨量就達到了一百萬片,證明了MachXO2 PLD是在MachXO™系列產品中具有開創性價值的承先啟後者,此產品也加強了Lattice公司在低密度PLD市場中的領導地位。現有客戶和新客戶持續地重視MachXO2套件所帶來的價值,將其快速啟動和非揮發性的特性用於他們的單晶片解決方案中。然而,這個系列以前所未有的速度被使用者採用,可歸功於它的新功能,如多達6,864個LUT的邏輯密度、多達335個I/O、硬化實現產品中被廣泛使用的I2C和SPI介面、在嵌入式功能塊中的計時器/計數器,以及多達256 Kbits的用戶快閃記憶體。」
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-13 12:57 AM , Processed in 0.144008 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表