Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 7433|回復: 3
打印 上一主題 下一主題

[問題求助] 那位大大會控制memory使用verilog

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-7-28 23:12:04 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
大家好:" @- h7 e2 k& N3 m& [* [  G
      我的code裡面有memory那麼我如何控制他呢?: b$ S3 Q+ D6 X
誰可以大概寫給我之類的。D(DATA ), Q (output), WEN, OEN, CEN,這是腳位,這個是要寫在code ,, r; ?$ Z& V: |
那麼test code是要一直送data的。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2007-7-29 19:39:55 | 只看該作者
這個看起來並不是寫code的問題
6 F8 f1 L% D- B0 X& M+ C. X前題是你要先看的懂RAM 的timming chart, 寫code只是一個實踐的動作, 而且你的問題並不清楚, 無法直接回答你, 不過你也可以上網去找一下別人寫好的coe看合不合你用
3#
發表於 2007-7-31 13:46:17 | 只看該作者

控制memory使用verilog

從Synplify Pro reference manual節錄一些single-port RAM的verilog code,你可以參考看看
  f$ W- t6 n6 b' g雖然不是控制memory,但瞭解memory行為有助於你控制memory3 V( g, X* V! I% G

) ], L* C" ~6 Q3 M- q: yThe following segment of Verilog code defines the behavior of a Xilinx" @$ O( O, u$ v6 i
single-port block RAM.
  D; {1 }( o$ W- t' W# l
1 V, g: ~* W2 h0 N: u1 k  xmodule RAMB4_S4 (data_out, ADDR, data_in, EN, CLK, WE, RST);7 K) Q* n% X9 h' q- y" z
output[3:0] data_out;7 \1 F+ n( s( }+ L
input [7:0] ADDR;
1 L! }3 m& G0 A" v7 f* J; r; {input [3:0] data_in;
8 H9 Z: z7 L  r& n+ r- L! b% yinput EN, CLK, WE, RST;
0 q0 W4 B' D" _reg [3:0] mem [255:0] /*synthesis syn_ramstyle="block_ram"*/;
$ H% j& {5 m# preg [3:0] data_out;) o2 d. z. i6 ?% w5 z
always@(posedge CLK)
7 [& b; e/ d5 `! Vif(EN); A9 ^8 {9 B$ k; X+ E0 A$ D4 H+ z, e
if(RST == 1)0 y  I% [4 \0 ~' m+ D3 M+ e
data_out <= 0;' @( I3 p4 B' H4 q
else; t/ n! r% O, F( }
begin4 |! x, `: _& `
if(WE == 1)
, S$ U3 Q- {1 W! Y; I, Ydata_out <= data_in;
) K( I& ^* A6 Nelse+ a1 H+ o9 G2 l7 }" y
data_out <= mem[ADDR];
( A6 x  W( ?* L# n6 H# lend& l1 _5 C- q4 ?6 D. V, b
always @(posedge CLK)9 q: f$ g/ L8 z# ~0 m, m$ L# [
if (EN && WE) mem[ADDR] = data_in;8 [$ m+ M0 M3 S4 q8 d  ]
endmodule

評分

參與人數 1Chipcoin +5 收起 理由
tommywgt + 5 多謝補充!

查看全部評分

4#
發表於 2007-8-3 11:08:49 | 只看該作者
你要做的Memory是SDR SDRAM or DDR??) B# r7 j) n) f# B+ G
$ @$ ?& {% k1 ?! ~' E- D% V
依照SDRAM來看的話..你應該要先做FSM..$ \% A5 v7 ^9 I
' A8 ]6 Y0 x- J, x7 M! {' n. k' }8 A' O
再依照FSM的狀態去寫Verilog..

評分

參與人數 1Chipcoin +2 收起 理由
tommywgt + 2 感謝經驗分享!

查看全部評分

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-13 08:33 PM , Processed in 0.115514 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表