Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
樓主: jiming
打印 上一主題 下一主題

原相科技選擇思源科技Laker L3 為標準客製化佈局系統

  [複製鏈接]
41#
發表於 2011-3-2 09:06:10 | 只看該作者

思源科技SILOTI系統簡化系統晶片驗證的能見度自動增強技術與偵錯流程

2011年3月1日台灣新竹 — 專業化IC設計軟體全球供應商思源科技今天宣布,具備順暢、方便好用的系統晶片(SoC)驗證與偵錯流程的Siloti™能見度自動增強系統開始供貨。  V- |  j' D" d! ?- d
7 `  F& r1 l0 z5 e$ P1 n$ X; Q
這個最新的軟體版本納入了全新可重複利用的特性分析資料庫,減少多餘的分析時間;並且在運用思源科技Verdi™自動化偵錯系統執行偵錯時,可以加速設計準備時間,比舊版系統快10倍以上。) z' `7 z9 Y) R3 A

% c# S& M% K5 f; e思源科技的Siloti系統從邏輯模擬記錄所需的最基本訊號資料,實現對複雜IC與SoC設計功能特性的完全能見度。這個流程使模擬費用降到最低,並提供資料讓Verdi系統用來實現更高效率偵錯與分析。這個全新Siloti版本讓工程師們能夠選擇最符合驗證需求的特型分析模式,在各模式之間清楚地切換,並儲存/重複利用分析結果,更進一步強化偵錯流程的能見度自動增強。
42#
發表於 2011-3-2 09:06:15 | 只看該作者
思源科技產品行銷處長李新基表示:「能見度自動增強技術就是要提高驗證產能與可預測性。能夠在偵錯時順暢地重複利用特性分析結果,讓我們更容易實現這些技術的生產力優勢並節省費用。我們非常高興能夠將最新版的Siloti系統交給工程師們使用,這是能見度自動增強技術運用模型的一大里程碑,真正降低了新使用者的入門障礙。早期使用者們紛紛稱讚測試結果,某些數百萬閘道設計的載入時間加快了100倍之多。」
3 G" c% ]9 y* R& y/ W1 k3 U  x1 t! D- d/ C
簡化的能見度自動增強流程
7 ~1 t8 S) ]1 x
! }, m) l( |# G( Y1 Z全新的Siloti流程以一致化基本訊號資料庫為基礎,能夠讓眾多模擬工作共同分享,還可以搭配思源科技的設計知識與快速訊號資料庫(分別為KDB與FSDB)的開放式架構來使用。可運用全新特性分析資料庫中儲存的所有結果,針對每個設計只需執行一次完整的基本訊號分析,不必在偵錯時多次反覆的執行。工程師們也能夠運用儲存的結果來修改訊號模擬中使用的基本訊號清單,以供進一步的分析與偵錯使用。; v$ h, c% P! R; [

* E$ ^' U8 H# C. q8 D/ A由於Siloti與Verdi環境之間的使用者介面完善整合,分享以屢獲嘉獎的Verdi系統為基礎的單一使用者圖形介面,現在Siloti使用者也能夠運用這個全新流程實現更高的生產力。當流程中必須使用兩種系統時,使用者不必再以手工方式切換工具了;在Verdi偵錯作業時,不但會自動召喚必須的工具,而且Siloti引擎可在背景中通透地執行。
43#
發表於 2011-3-9 07:49:51 | 只看該作者
思源科技與Dongbu HiTek共同發表一系列LAKER PDKs 使客製化晶片設計更順暢
" k9 C& {3 x- |8 d) P4 m( h8 P% ^+ Y9 K/ p6 Z% p+ X$ E
2011年3月8日台灣新竹 — 專業IC設計軟體全球供應商思源科技,與全球專業晶圓技術與服務領導廠商南韓Dongbu HiTek Co., Ltd.,今天發表一多年期合作計畫,共同開發一系列製程設計套件(PDKs),運用Dongbu頂尖的製造技術,使客製化晶片的設計與製造流程更加順暢。兩家公司今天也發表Dongbu HiTek 0.18微米BCDMOS晶圓製程專屬的思源科技Laker™ PDK,未來一年內將會陸續發表更多PDKs。
% {9 S3 D9 Q3 z5 v3 ~# Y
% U1 Z$ K- a! @此一率先獲得晶圓廠認證合格的Laker PDK支援Dongbu HiTek的0.18微米BCDMOS製程技術,讓晶片設計人員能夠整合高水準的電源與類比控制和邏輯功能,最適合應用在音響和馬達控制ICs、車用SoCs與LED驅動晶片等產品。此PDK包含晶圓廠專屬的元件符號、經過高度最佳化的參數化單元(PCells)、預先驗證的設計規則和最新的技術檔案。搭配思源科技的Laker客製化佈局自動化系統使用時,此PDK可自動化類比設計的實體佈局流程,提高設計人員的生產力,並縮短開發時間。Laker 0.18微米BCDMOS PDK現在已經在線上提供給Dongbu HiTek客戶使用。* E8 o1 y2 m6 _) P! q/ F4 O

3 }: A( Y5 k2 \. n. d2 WDongbu HiTek副總經理Taek-Soo Kim博士表示:「我們的BCDMOS製程可應用在各式各樣的頂尖晶片設計中,並且為先進的類比與電源控制設計提供良好的基礎,以最佳化其效能或成本。透過將我們在晶片上的專業技術與思源科技的客製化設計工具相結合至Laker PDKs中,晶圓廠客戶們現在可以立即享受雙方的設計自動化與製造技術,依循驗證有效的道路而達成一次就完成晶片設計的目標。」
1 |3 w0 v: X& u0 V; v* e7 r& @3 O% o- U9 ~
思源科技實體設計技術與產品事業群副總經理李炯霆表示:「我們非常樂見Dongbu HiTek使用Laker工具而實現的成功夥伴關係,現在我們更期盼能夠在此合作基礎上,發揮Dongbu HiTek在專業化類比與混合訊號晶圓技術方面的優勢;雙方戮力合作,讓彼此的客戶能夠更輕鬆地設計和製造晶片,達成成本、效能與上市前置時間的目標。」
44#
發表於 2011-3-11 16:29:44 | 只看該作者
思源科技與明導國際攜手合作  整合Laker 與CALIBRE REALTIME系統 領導業界邁進SIGN-OFF導向客製化佈局流程 9 H7 t6 ^- f7 d) a: M
業界唯一以OpenAccess為基礎的Calibre DRC整合,加速邁向晶圓廠sign-off之路,並縮短客製化晶片的設計時間
& I/ b" U. y; G7 y2 L! R/ A" g/ A# P! F& u0 v% ?4 _5 M
2011年3月11日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天發表其具備全新sign-off導向佈局流程的Laker™ 客製化佈局軟體,而明導國際(Mentor Graphics)今天也同步發表全新Calibre RealTime平台。思源整合Calibre RealTime至Laker系統,提供在Laker OpenAccess (OA)佈局環境中的即時設計規範檢查(DRC)功能,實現在設計建立時的sign-off品質實體驗證。這項獨家功能讓Laker使用者能夠以更短時間產生高品質的客製化佈局,即使在最先進的技術製程中,也能夠更快速地實現晶圓廠sign-off流程。Laker sign-off導向佈局流程已經開始支援最新版Laker OA軟體並已在市面上銷售。
' \0 P4 D( S4 D. u8 T& ^
+ n3 H6 i! w/ y  ?' w' b思源科技與明導國際攜手合作,樹立了另一個提供以OA為基礎的客製化晶片設計解決方案的策略性里程碑,實現真正的相互操作性。Laker系統原本就透過專屬的自動化引擎與電路圖導向佈局流程,提供以設計規範為導向的實體設計。而將Calibre RealTime DRC整合到Laker環境之後,使其功能更上層樓,因為它同時具備通過晶圓廠驗證的業界最優秀OA客製化設計實現流程,以及可供晶圓廠sign-off使用的Calibre DRC引擎等優勢。Laker sign-off導向佈局流程是運用OA執行模型而建置的,讓兩個世界級工具配合得天衣無縫,兌現了OA相互操作性的承諾。
45#
發表於 2011-3-11 16:29:57 | 只看該作者
明導國際行銷處長表示:「明導國際與思源科技了解客製化IC設計工程師在先進製程與設計規則擴張上所面臨的挑戰,並建議這些規則可以在Calibre sign-off DRC與Laker 客製化佈局的整合環境中被解決。OA執行模型(RTM)的應用程式介面提供Calibre 與第三方工具緊密結合的機制,並藉助Laker團隊豐富的經驗使用OA 執行模型作最佳的整合。」5 O, n! |/ p/ H- j7 I
$ m: B) w6 z/ a
Laker Sign-off導向佈局流程
+ W$ N0 `) A; y! N: y  a2 m+ ~
) I" ~2 T9 c* M" B7 U思源科技實體設計與技術產品事業群副總經理李炯霆表示:「Laker讓客製化晶片設計人員能夠花費最少的精神,來實現卓越的佈局成果。明導國際的Calibre RealTime與Laker的整合也秉持同樣原則。設計人員可以運用sign-off導向客製化佈局流程,更快速地達成設計收斂,並縮短客製化晶片的整體設計時間。」
6 G3 L5 d, Z  N* N: t- e1 F# u5 p& i
+ y' H# |; z! D% `思源科技與明導國際團隊密切合作,確保Calibre RealTime DRC方便好用,而且讓Laker使用者能夠立即上手。所有努力都聚焦於提供即時且簡易的操作,幾乎可立即獲得結果。設計人員每次在Laker佈局環境中編輯多邊形(polygon)、路徑或佈局位置時,Calibre RealTime都會偵測變更,並在發現變更時自動執行設計規範檢查(DRC)。無論何時,使用者僅需一次點選,就能執行更多規範或不同的規範集。此外,圖形使用者介面讓Laker使用者能夠輕鬆地定義客製化規範集與設計式樣,完全不必編輯「黃金(golden)」規範檔案。使用內建的Calibre RealTime錯誤檢討工具列,不僅可以獲得立即的回饋,而且所有錯誤導航都在Laker環境中。使用者能夠專注於建立DRC-clean佈局,不必像使用許多工具流程時一般忙著切換視窗了。
46#
發表於 2011-3-14 17:59:03 | 只看該作者
思源科技強化LAKER ADP設計輸入系統,使具備OPENACCESS相容性的IC佈局流程臻於完備
7 e3 R3 Q: @' h0 q/ X$ q3 f8 x% k& H4 s/ r1 T2 e6 ]/ s3 t
2011年3月14日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,最新版Laker™先進設計平台(Advanced Design Platform,ADP)設計輸入工具開始支援OpenAccess(OA),並以OpenAccess (OA)標準為基礎提供完整的一貫式客製化IC佈局流程。最新版軟體也包括許多強化設計輸入、分析與導航生產力的功能與改善。+ _; R6 l; B# @# S% L" y

& s' W0 q1 v- pLaker ADP是完善整合的系統,涵蓋全功能電路圖輸入工具、開放式模擬中控台以便管理連結第三方模擬工具的介面,以及互動式波型分析器。Laker ADP為設計人員提供高效率、省時的輸入點,以驅動該公司屢獲嘉獎的Laker客製化佈局自動化系統,精準地連結設計意圖與通過生產驗證的電路導向佈局(SDL)流程中的關鍵限制。現在,由於OA支援,使用者可以更輕鬆地在Laker ADP與佈局環境之間悠游,提供邁向實現Laker客製化佈局平台自動化優勢的順暢道路,進而建立業界最卓越的OA相容IC設計流程。
9 j; _) {: v6 q- f* v( ~* V
% h! |. Q" F0 u6 m思源科技實體設計與技術產品事業群副總經理李炯霆表示:「由於支援OA,思源科技建立了自己在提供開放、可相互操作解決方案的領袖地位。最新版Laker ADP提供完整的以OA為基礎的客製化IC設計流程,實現更上層樓的生產力以及在設計流程中其他關鍵工具的整合。」
47#
發表於 2011-3-14 17:59:12 | 只看該作者
OA支援進一步擴展相互操作性
0 W/ ~$ ~, m% |9 d& D
" v( q, l# z" DOA標準化的努力源起於Si2,由於單一資料庫與專為IC設計而建立的應用軟體程式規劃介面,大幅減少了典型電子設計自動化(EDA)流程中的轉譯步驟數。思源科技投入大量資源發展OA工作平台,使其提高IC設計的生產力,並與其他業界領袖和主要OA支持廠商合作,開發、測試和建置實現異質工具環境中真正相互操作性所需的基礎架構與技術。
5 |. K0 U# s- a5 @透過在Laker ADP中的OA建置,從設計到佈局的整個Laker工具流程現在都支援可相互操作性PDK程式庫(Interoperable PDK Libraries,IPL)聯盟標準1.0,包括iCDF、回呼與PyCells,提供與頂尖晶圓廠可相互操作性流程設計套件(iPDKs)的相互操作性。Laker ADP透過OA API 輕鬆實現了在系統中與第三方工具的整合。  N$ h" A4 P( ?& x9 C

3 f+ |0 W2 @# n9 X5 {9 g2 g+ Q全新功能實現更高效率) g: ]6 t7 c( ?4 ?- t$ u* ]! \
2 w7 l; n+ Z9 k& \5 R8 T6 r
除了支援OA之外,最新版Laker ADP也提升了既有功能並新增省時功能,促進與第三方工具的更妥善整合,以及對複雜設計的更深入分析。涵蓋強化的開放式模擬中控台實現與坊間流行的類比模擬器之間的更高效率互動,讓設計人員不必離開Laker ADP環境即能指定模擬參數和執行模擬。電路圖編輯器的強化包含支援多張圖片、嵌入模式編輯、畫面上參數編輯、自動完成繞線以及動態電路強調。
# g4 ?% `& Q& n$ `( n! x9 ~  V  E+ Y' T! B; H( W
李炯霆表示:「隨著設計益趨複雜,不斷地新增功能使設計建立與分析流程自動化,並為類比與混合訊號設計功能提供更周延的支援,是很重要的。由於這個最新版本,我們強化了客製化IC設計輸入功能,同時維護本公司電路圖導向佈局系統的熟悉外觀與優勢;這使得工程師們更容易探索和驗證客製化設計,進而充分發揚整個Laker IC設計流程的優點。」
48#
發表於 2011-4-11 15:52:44 | 只看該作者
宜揚科技採用思源科技Laker客製化數位繞線器系統 實現更快速、更高效率的NOR Flash產品設計5 d- T+ D3 N/ z" o
) z" N& L; ^( I$ w" ], H
2011年4月11日台灣新竹 —思源科技今天宣佈,其Laker™ 客製化佈局自動化系統與Laker客製化數位繞線器更深入普及於記憶體晶片市場,成功地滿足新一代設計的高效能、低功耗需求。該公司同時表示,宜揚科技 (Eon Silicon Solution Inc.,簡稱Eon)運用Laker解決方案,佈局速度提升了3倍之多,大幅提高其生產力,因而晉身頂尖記憶體晶片公司之列。4 Q6 d1 \5 I/ j8 C
" `: b, _, L2 E3 b
思源科技實體設計與技術產品事業群副總經理李炯霆表示:「越來越多大型的全球晶片廠商仰賴Laker建置功耗與品質最佳化的的記憶體解決方案,包含行動與高效能系統專用的晶片。我們的產品之所以能普及於記憶體晶片市場,該歸功於Laker的客製化數位繞線技術,提供專業自動化且卓越的設計,讓各企業能夠達成更積極的產品目標,同時節省寶貴的設計時間。」
49#
發表於 2011-4-11 15:53:02 | 只看該作者
Laker廣獲記憶體晶片市場採用
( A7 K) G" Z; y( l+ o
+ l9 r# W0 U; }4 C5 ]  R' K宜揚科技是無晶圓廠半導體公司,專心致力於非揮發性半導體記憶體,也是最近公開宣布使用思源科技Laker客製化佈局與數位繞線工具的記憶體晶片供應商。作為全球10大頂尖快閃記體體(flash)供應商之一,宜揚科技提供最廣泛的NOR Flash記憶體,涵蓋運算、通信、消費性與工業市場和應用,包括從512Kbit到512Mbit密度的平行(ISA)與串列(SPI)快閃記憶體的5V、3V、1.8V產品系列。6 M' l7 [5 v1 c9 p" e

. y* d, H6 _7 M4 N& \. x1 T- ^4 i宜揚科技研發協理Hsiao-Hua Lu指出:「為了贏得客戶對本公司產品與服務的滿意度,我們承諾永續提升品質。這包括為我們的設計人員提供領先同級的工具,以及更新、更先進的技術。就好像宜揚科技一般,思源科技也致力於Laker產品的永續改善。在快閃記憶體設計方面,我們一直都很滿意Laker佈局工具的表現,尤其喜歡Laker全新客製化數位繞線器的順暢整合,以及絕佳生產力與使用方便性等優勢。」
50#
發表於 2011-4-11 15:53:11 | 只看該作者
使用Laker工具進行記憶體晶片設計的公司不斷地增加,例如海力士半導體公司(Hynix Semiconductor Inc.)與華邦電子(Winbond Electronics Corporation)。Hynix海力士總部位於韓國,是全世界頂尖的動態隨機存取記憶體(DRAMs)、快閃記憶體(NAND Flash)與CMOS影像感應器(CIS)晶片的記憶體半導體供應商。Winbond華邦電子總部位於台灣,是頂尖的半導體記憶體解決方案全球供應商,部署Laker佈局與繞線系統以供各種行動記憶體應用之需,例如SDR、低功耗DDR以及手機RAM。華邦電子的專業DRAM、行動RAM、NOR Flash與繪圖DRAM產品廣獲當今消費性、通信、電腦週邊與汽車市場領導廠商的愛用。(有關詳情,請參照關於Hynix海力士與Winbond華邦電子使用Laker工具的其他新聞。)
  v) M7 ~7 r/ H3 `% F
( B( H) D' Y# @3 {5 v, n  x記憶體晶片專用的Laker客製化數位繞線技術
- ^# _( L  S+ {) _$ X- S$ K$ d3 O+ j
Laker客製化數位繞線器於2010年3月上市,是結合網格式和以外形為基礎的繞線技術的繞線器,最佳化混合訊號與客製化數位設計。這種獨家組合支援記憶體專屬的繞線形式,例如backbone與secondary spine繞線,實現極高的完成率。客製化數位繞線器與Laker佈局工具完全相容,讓佈局工程師能夠在單一、高度自動化的客製化IC環境中作業,客服更小實體面積、更高效能要求和低功耗限制等記憶體晶片設計瞬息萬變本質的挑戰。
51#
發表於 2011-4-19 15:52:56 | 只看該作者
大陸芯原公司全面採用思源科技VERDI偵錯系統 實現數位IC與系統晶片驗證3 p2 n. W9 a( U* t, K: r
* {3 e7 j2 U9 I. ]
2011年4月19日台灣新竹 —思源科技今天宣布,頂尖的世界級ASIC設計與半導體IP供應商芯原股份有限公司(VeriSilicon Holdings Co. Ltd.,以下簡稱芯原)選用Verdi™自動化偵錯系統作為標準的偵錯平台。屢獲嘉獎的Verdi軟體現已全面部署至VeriSilicon全球研發部門,大幅縮短偵錯時間並加速先進技術製程中的複雜數位IC與系統晶片(SoC)設計。
& y- l# B* w3 F- l
: ?2 p, g% B+ L+ k3 q  X芯原已經將Verdi平台整合到該公司通過量產證明的驗證流程,並適用於絕大部份的數位設計專案。芯原設計工程師使用Verdi套裝工具與設計分析功能,加速佈局前後的功能驗證工作。由於能夠從多重視野具體顯現設計的階層與關係,芯原工程師們可以快速找出問題並追蹤根本原因,偵錯時間大幅縮短。
: O* Q2 v3 F$ z- ~3 I0 ^7 g- M7 N
芯原工程副總經理蔣壽美表示:「芯原是頂尖設計服務公司,不斷地淬鍊和改善自己的設計與驗證流程。Verdi是流程中的重要工具,讓我們能夠提供領先同業的設計服務品質,進而強化整體驗證能力。」
52#
發表於 2011-4-19 15:53:03 | 只看該作者
Verdi自動化偵錯系統是思源科技偵錯工具系列的先進旗艦產品,透過將理解複雜的IC與SoC設計運作的過程自動化,而使偵錯時間縮短一半,在不熟悉的設計元件或第三方智慧財產方面尤其實用。這套全功能的系統運用專屬分析引擎,使長時間特性追蹤的工作自動化,提供威力強大的設計視野,並且有助於分析因果關係,更運用專利技術來揭露功能運作,以及設計、斷言和系統testbench之間的互動。
0 j  w' i6 [- i5 U$ y. S/ h" i8 t& Z# ]& q& {, u* ^5 X
思源科技亞洲銷售副總林榮堅表示:「像芯原這種業界領袖採用Verdi作為標準偵錯平台,尤其是在中國大陸快速成長的IC市場中,就是Verdi在驗證領域穩居領袖地位的鐵證。我們期望芯原與思源科技之間的密切合作關係,在未來能夠為雙方在大中國區甚至於全球的客戶提供更高的價值。」
; q$ s& k) I/ @3 |/ I+ T# z' T& F, r0 ^8 d+ l4 D
關於芯原
" s/ Z; `) \  l, E/ s4 x9 V7 O* o& G! M: y! y3 t
芯原股份有限公司(VeriSilicon Holdings Co., Ltd.,簡稱為VeriSilicon) 創立於2002年,是快速成長的IC設計公司,提供客製化晶片解決方案與SoC (系統晶片)承包服務。芯原的技術解決方案結合可授權的數位訊號處理(ZSP®)核心、eDRAM、超值的混合訊號IP陣容與其他星網型IP (star IP)到SoC平台,並擴及於65nm以下的製程技術。這些平台嘉惠各種消費性電子裝置,例如機上盒與家庭閘道器(Home Gateway)、行動網際網路裝置與行動電話、HDTV和藍光DVD機。芯原針對客戶特殊需求而提供客製化設計與製造服務,涵蓋最初的SoC規格與應用軟體、RTL,以及透過晶片樣本的後端設計實現,還有利用亞洲與美國多家服務夥伴、裝配與測試公司而提供的量產服務。芯原的研發中心目前分佈在中國大陸上海與北京、美國聖塔克拉拉與達拉斯、芬蘭奧斯陸;在美國聖塔克拉拉,中國大陸上海、北京與深圳,日本東京,台灣的台北與新竹,韓國首爾,法國尼斯與德國慕尼黑都有銷售與客戶支援辦公室。有關詳情,請上網查詢:www.verisilicon.com
53#
發表於 2011-5-2 16:48:01 | 只看該作者
思源科技新版VERDI偵錯軟體可完全支援UVM以提升驗證方法整合度. ~' Z7 l* r+ j( F
Verdi UVM程式碼與交易層加強分析功能,使SystemVerilog testbenches的偵錯更容易
1 I, k# d( K. [
! l: w# {( E0 W; U3 r2011年5月2日台灣新竹 —思源科技今天宣布Verdi™自動化偵錯系統開始完全支援Universal Verification Methodology (簡稱UVM)。Verdi軟體在既有的HDL偵錯平台上新增全新的UVM原始碼與交易層(Transaction Level)訊息紀錄功能,讓工程師們能將複雜的SystemVerilog testbench結構具象化,以便輕鬆地進行先進系統晶片(SoC)裝置測試的偵錯工作。
+ v; u/ U# T; M8 X5 L8 K
  M5 `9 ~* T% _+ D& E. xUVM即將成為業界標準,可確保整合來自不同來源或運用不同方法開發的testbench程式碼(也稱為驗證IP)能夠重複利用並具備相互操作性。Verdi在整合的testbench與設計偵錯環境中加入新功能支援UVM相關偵錯工作,實現更高效率的交易層資料記錄與檢視功能,遠勝過目前UVM基礎架構所能提供的偵錯訊息。由於能夠在交易層中具體看到testbench與正在測試的設計之間更豐富的資料,Verdi使用者能夠更完整地觀察整個驗證環境,這在複雜的回歸測試階段(Regression Test)尤其重要。2 n( o" `1 ^" \: h$ O) k3 g

* t. Z6 Z& f5 s0 g1 O% T' `思源科技產品行銷處長李新基表示:「SystemVerilog具備獨特的優勢可克服驗證複雜性,而UVM提供實現更佳驗證相互操作性的基礎架構。我們的UVM支援結合了Verdi現有廣受業界採用的功能與更佳的UVM交易層記錄功能,萃取更多偵錯所需的關鍵資料。讓工程師以更自然的方式、更深入瞭解和分析testbench活動,進而判斷testbench或設計中是否發生了問題。」
54#
發表於 2011-5-2 16:48:10 | 只看該作者
更佳的UVM建置5 |3 f! x+ f& _, q3 H3 \

9 `7 ?$ `% U1 h8 r" M思源科技在業界標準SystemVerilog程式庫支援之上完整支援 UVM原始碼。此外,思源科技在Verdi系統中提供了客製化SystemVerilog檔案,可清楚地記錄所有UVM元件之間的完整交易層紀錄到Verdi 的FSDB ( Fast Signal Database)。交易層訊息可運用於既有的Verdi波形工具中,或全新推出的序列圖表(Sequence Diagram)中。這種自動化機制免除了手工記錄的麻煩,不必也不必改寫testbench將交易輸出為文字訊息。
7 M5 c; H8 \: M8 G; _' o& W- R2 ]* d
嶄新的UVM testbench偵錯功能運用Verdi環境的多功能交易層偵錯環境,讓工程師能夠在模擬後快速地進行testbench與設計的偵錯工作。主要功能包括試算表式的表格檢視可以進行訊息的排序與過濾,方便好用的類別瀏覽器(Class Browser)可以瀏覽Testbench結構,還有自動化來源碼追蹤功能可以找出testbench問題的源頭。隨著UVM的使用不斷地演進並獲得廣大業界的採用,思源科技將計畫在Verdi加入更先進的動態資料記錄功能,並建立更多偵錯自動化功能。
55#
發表於 2011-5-11 16:06:08 | 只看該作者
思源科技新版CERTITUDE功能驗證系統  提供創新先進的偵測與檢驗功能
; J- k: V( a; T( {$ o) a' \: Y% _+ d
2011 年 5 月 11 日台灣新竹訊 — 思源科技今天宣佈Certitude™功能驗證系統的重大發展,能夠以更多元且更具效率的方式配置驗證方法。新推出的自動偵測與驗證環境檢驗功能是其中主要的創新,以更少的資源,迅速確認晶片驗證環境中的潛在問題,同時持續改良驗證流程。+ e6 e$ I3 n+ e# ^% u
1 l. N& W; ?3 u1 t- z( c
功能驗證就是確認晶片設計建置依據指定方式運作的流程。Certitude軟體是市面上獨家提供,能夠客觀驗證IP與系統單晶片(SoC)設計檢驗機制與測試的工具。Certitude技術平台擴充的目的在於儘早、且更頻繁地檢查重要功能,確保驗證環境擁有高信心水準的「signoff」品質。這項研發里程碑是思源科技的使命與產品藍圖中不可或缺的一環,目的是加速目前越趨複雜SoC設計的功能收斂。 - T& |  O' ?. h& |

8 o( w: {5 c+ X2 w# U思源科技產品行銷部門處長George Bakewell表示:「Certitude逐漸成為功能驗證signoff流程中不可或缺的一環,新版的Certitude提供嶄新的自動化技術與基礎架構,快速提供實用的晶片驗證環境品質資訊,讓工程師們能夠排定工作優先順序,更有效地運用系統資源。更重要的是,我們也將這個功能驗證使用模型延伸至更早期的驗證流程,而不僅當作流程末期的單點工具(point tool)而已,協助SoC團隊擁有更高品質的設計,signoff更迅速。」
56#
發表於 2011-5-11 16:06:35 | 只看該作者
更周延的技術平台- s- x3 J4 q8 U% H0 @
: X- I6 I! ?# u8 l$ N
思源科技的Certitude軟體結合專利自動化技術以及以變異為基礎(mutation-based)的技術與靜態分析,能夠評估效能、確認重大缺失,並且改善HDL模擬驗證的結果品質。換句話說,這套系統會將錯誤(人為變異)置入暫存器轉換階層(RTL)設計中,並且使用工程師選擇的數位邏輯模擬工具,針對每一項變異進行測試。測試結果包含詳盡的錯誤啟動(activation)、傳遞(propagation)與偵測等功能檢測資訊,以評估整體驗證流程,同時找出並修正漏洞,譬如缺漏的功能查核、不完整的測試過程,以及基礎架構問題。分析無法傳遞或驗證環境無法偵測的錯誤,並準確地指出測試向量、觀測性(observability)或結果檢驗程式的問題,進而協助修正。
3 _9 A$ Z8 p  b; I+ ~8 F3 F' Z4 z1 n6 _  {. @0 H
Certitude技術平台新增錯誤偵測、排序與追蹤功能,在整個驗證流程中能夠更迅速地提供重要訊息回饋、縝密分析結果,並且簡化錯誤分析。Certitude系統發現未檢出(Non-Detected)的錯誤時,會自動排除(drop)其他與此ND錯誤相關的錯誤,避免浪費寶貴的時間。這項功能最初以邏輯錐(logic cone)為基礎,已經過多年的粹煉。這套系統現可運用延展邏輯錐方法,由單一錐體延展排除標準,進一步減少「雜訊」因素,提供錯誤排除功能。9 c' D( `! ~9 ?$ H$ \8 H; B

0 t8 X- n7 D) N# M1 c9 {工程師能夠更迅速地找出應優先處理的ND錯誤。新的錯誤排序與優先排序功能可說明特定錯誤類別(fault class)中,那一個ND錯誤應率先分析,以及該使用那一個測試程式。特定ND錯誤的測試也會依據傳輸時對輸出造成的影響為基準自動排序。
57#
發表於 2011-5-11 16:06:45 | 只看該作者
Certitude系統在找出足夠的重要結果時會自動停止,如此一來工程師便能夠持續分析、修正並排除問題。這項偵測自動停止功能有賴預先定義的標準,譬如優先錯誤類別以及類別中的ND錯誤,以驗證目標為基礎,定義出一個合理的中止點。除此之外,由於Certitude在不同作業間設計碼經常變動,因此這套工具採用自動化錯誤ID架構,能夠明確對應錯誤ID,讓用來分析結果的報告內容維持一致性。
' P5 E6 C+ q. Z( ^
- Z1 Q, P! G+ m- x) j( b更簡單完整的驗證 Signoff
1 L  L$ L: c2 j. S- t. {1 L7 ]$ {% c7 p6 b  B
思源科技也將引進新使用模式做為Certitude平台擴充的一環,能夠讓Certitude配置在早期的驗證流程中,評估環境偵測非特定行為的能力。在大多數的SoC驗證環境中,檢驗程式基礎架構基本上在所有撰寫測試方案前就已就緒。這套新模式旨的設計主要在以更少的模擬資源,快速產生模擬結果,以便能在早期評估檢驗程式效能,並且指出問題所在,譬如不正確或漏失的查核程式。除此之外,思源科技的產品藍圖將進一步拓展Certitude應用範圍,戮力實現完成度更高的功能驗證sign-off工作。我們的研發成果涵蓋各個領域,包括更完備的SoC層應用,以及強化的斷言(assertion)支援。
58#
發表於 2011-5-18 16:39:41 | 只看該作者
日本VDEC採用思源VERDI偵錯軟體提升VLSI設計教育效率
2 x$ X. o$ [9 @部署Verdi系統為數位電路設計與EDA技術課程的標準偵錯環境
% O' V  h7 n. k. l! W; i" F* |) g, `0 G/ p, j* v
2011年5月18日日本橫濱 —思源科技今天宣布,日本VLSI設計教育中心(VLSI Design and Education Center) (VDEC)將提供思源科技的Verdi™自動化偵錯系統給日本的國立大學、公立大學、私立大學與學院,作為教育用途。VDEC是VLSI (超大規模積體電路)技術教育中心,以提升日本半導體產業VLSI設計教育及支援VLSI晶片製造為宗旨。2 j3 V/ G# |8 P: m8 j, I0 s  J
+ d& q/ s1 C* f( y7 J& o1 [
VDEC在2010年4月經過審慎評估之後,選擇了Verdi偵錯系統。主要決策關鍵在於思源屢獲嘉獎的偵錯系統具備高效能、使用方便且為業界廣泛採用。VDEC將部署Verdi系統的偵錯自動化與分析功能,以提升該機構的研發效率。Verdi軟體將成為VDEC數位電路設計的標準偵錯平台,並且運用於EDA技術課程、大學的研究與實習,以及VDEC實驗室舉辦的教育訓練課程。3 ^" A7 h$ j" b
; m2 O( X' n3 f2 X
東京大學VDEC主任Kunihiro Asada教授表示:「Verdi的深度與廣度令我們印象深刻。除方便好用之外,也毫無部署障礙,能夠與我們在VDEC所使用的電路圖、模擬與合成工具緊密結合。學生們可藉由Verdi學習到自動化偵錯工具的功能、實用性與價值。而且,Verdi提供許多先進功能,不僅是重要的教育工具,也是VDEC數位電路設計的首選偵錯工具。」
59#
發表於 2011-5-18 16:39:54 | 只看該作者
思源科技產品行銷處長李新基表示:「我們相信Verdi作為VDEC的標準偵錯系統,必將在提升VLSI設計驗證效率上扮演重要的角色。由於能夠使用頂尖的工具及累積實際操作的經驗,足以讓VDEC使用者作好準備,克服半導體設計複雜性的挑戰,日本半導體業界與其VLSI工程師必定受益匪淺。」
5 k5 B3 {5 a1 S% \* L( {  w; {( z0 K, l
關於Verdi系統2 X4 B9 }/ m4 Z2 n; l( u
Verdi自動化偵錯系統是思源科技偵錯工具系列的先進旗艦產品,透過將理解複雜的IC與SoC設計運作的過程自動化,而使偵錯時間縮短一半,在不熟悉的設計元件或第三方智慧財產方面尤其實用。這套全功能的系統運用專屬分析引擎,使長時間特性追蹤的工作自動化,提供威力強大的設計視野,並且有助於分析因果關係,更運用專利技術來揭露功能運作,以及設計、斷言和系統testbench之間的互動。
! M% f" R) m" l. v% y* F8 L1 f7 p" m9 ^8 ^) c7 d$ x! s
關於VDEC
1 s% i1 K0 }5 }4 ~" [& [! r3 @+ z) XVLSI設計教育中心(VDEC)位於東京大學中,創立於1996年5月。VDEC是VLSI (大規模積體電路)教育中心,以改善日本各國立大學、公立大學、私立大學與學院的VLSI設計教學和VLSI晶片製造的支援為宗旨。日本全國153家大學的640個研究群組現正享受VDEC的支援與服務。在日本,VDEC與其9個分支機構提供許多CAD軟體授權。
60#
發表於 2011-6-7 16:27:39 | 只看該作者
思源科技LAKER 客製化佈局系統榮獲 TSMC 台積電 28奈米 參考流程採用5 Y* G/ G# r: y2 S/ g' W
業界頂尖技術供應商合作,打造創新、以開放標準為基礎的類比混合訊號與數位設計佈局流程
, Y: j' X  f0 g/ d
( K* Q7 o7 ?" `8 [7 z: o4 r2011 年 6 月 7 日台灣新竹訊 — 專業 IC 設計軟體全球供應商 SpringSoft 思源科技 今天宣布,Laker™ 客製化佈局系統 獲得台灣積體電路製造股份有限公司 (TSMC) 青睞,已獲選進入台積電28 奈米 (nm) 類比與混合訊號 (AMS) 設計參考流程Reference Flow 2.0 以及數位設計參考流程 Reference Flow 12.0 中。$ G! P- ^! E) B

1 G  R3 H$ _: p" _TSMC AMS Reference Flow 2.0 與 Reference Flow 12.0 具備最卓越的設計工具與方法,能夠解決晶片設計因為在 28 nm技術下複雜度提升所面臨的挑戰。思源科技與台積公司以及其他頂尖工具供應商合作,研發重大基礎架構革新以及 AMS Reference Flow 2.0 子流程,其中包括佈局從屬影響 (LDE) 認知、寄生認知 (parasitic-aware) 以及低功耗功能佈局。思源科技本次參與 TSMC Reference Flow 12.0,著重在可製造性設計 (DFM) 校正能力,透過自動化的方式讓佈局更為周延,進而改善數位設計的良率。
, y6 d# N+ D& C; G! u- Z! B! J; u# I# I- x
. y4 U0 Q) k" X1 t台積公司設計建構行銷處處長 Suk Lee 表示「思源科技是TSMC EDA 設計生態環境與參考流程團隊寶貴的長期合作夥伴。他們參與設計參考流程並研發更卓越的 Laker 新功能,實現思源科技『為彼此客戶提供服務』的承諾」。6 T! ?0 A! y# A$ O
+ F" X" m2 |2 u" |( m
思源科技參與 TSMC 28nm 參考流程的概要情形,將於即日 (6 月6 日) 起至星期三 (6 月 8 日),在美國加州聖地牙哥舉行的第48 屆設計自動化會議 (DAC) 中進一步說明,請至 TSMC Open Innovation Platform (OIP) 攤位與講堂 (#2535/2648) 瞭解詳情。
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-7 07:34 PM , Processed in 0.139018 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表