Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 12294|回復: 5
打印 上一主題 下一主題

[問題求助] VHDL 程式語言 幫幫忙..

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-8-31 11:59:00 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近剛接觸fpga...但是以前上過的數位邏輯幾乎都不會..真值表...之類都不懂....6 S( K$ A% v& D. E  ?" S
最近要學 vhdl..請問數位邏輯的東西 有哪些是必要懂得....?' f7 S2 u5 i7 I
可以有大大 可以給我ㄧ點提示..
, _& R" p3 O' U/ W0 l該怎麼寫 跑馬燈的程式
) C' x+ d8 z- N: u5 ?我的平台 有四顆led....
5 |# Y1 ]9 f' F6 q是要怎麼寫程式 他才會 依序亮.....
% k  J2 i- c) ?5 ^% x! F& N; A5 p學長要我把最基本 輸出輸入搞好..但 哀哀  
! h% ?4 o) G, `6 T- a" {6 }! S可以給我ㄧ點提示ㄇ...
$ t; L0 {+ e7 d2 L$ Z% E
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2009-9-1 11:01:33 | 只看該作者
您好
  Z9 p7 E- b" z" n   數位邏輯是基礎,沒有這基礎就去寫VHDL Code
. D7 M! ?5 ^% [4 N' U% W. V/ e也不是說不行啦,只不過會遇到很多瓶頸,而且大概' P' r+ T: J6 d# U( B
到了入門階段後,就無法再更深入了,
# Q( E2 k! ?  N跑馬燈屬入門範圍內,找本vhdl的書,都會有這例子,看懂後很快就能修改6 U, e9 O7 y( W$ h) I) c
成你要的功能了,* r& a4 h( W+ i$ C. w
以上個人看法,您請參考
% d' d* L# s  F4 j" r) I% B, a- q; @( Q6 n
[ 本帖最後由 addn 於 2009-9-1 11:50 AM 編輯 ]
3#
發表於 2009-9-2 22:28:11 | 只看該作者
如樓上大大所說的,找本書來看看!!
0 s& f$ A) L, }8 k) ^9 {: Q% j3 h基本上跑馬登的程式就是   除頻→査表
4#
發表於 2009-10-11 18:00:49 | 只看該作者

請問有沒有關於查找的資料呀....- A6 |6 b4 q0 `$ D
不太懂他用的意思
5#
發表於 2010-9-29 02:11:21 | 只看該作者
買本VHDL的書籍上都有
1 ^$ ]1 z, }4 \& n' y8 ~2 B裡面幾乎都會附上範例跟說明
6#
發表於 2011-1-16 09:52:19 | 只看該作者
下个官方的TUTORIAL 把里面的小实验做玩 基本入门了
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-4 03:24 PM , Processed in 0.111514 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表