Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3372|回復: 5
打印 上一主題 下一主題

[問題求助] 有關Verilog的CODE一問

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-9-20 13:12:46 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
小弟最近再寫CODE用到移位佔存器,因CODE的需求需要使其(移位佔存器)致能訊號延8個CLOCK
$ P+ k5 R9 Y: p5 z, i, o4 }, p& V但是我不知道要從何下手 ...希望板上的各位先進能幫我個忙..交我怎麼寫...感激不進
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2007-9-20 17:22:08 | 只看該作者
請使用Google搜尋吧
- i# d. w$ l- M. p+ r7 O  q5 }
" K% `, p! g  Q2 p. r" {http://www.ltivs.ilc.edu.tw/kocp/logic/ch10/s10-1.htm  可以參考看看
3#
發表於 2007-9-20 19:27:07 | 只看該作者
您好( ^1 v% ]; y# y0 C
加上一個計數器
5 F/ Z4 Q5 A9 \; R* i& i) i) }當reset後,計數器開使計數. j7 i0 f: X! [7 f) v, b' ~
數到你設定的數值(看你想延遲幾個clk)就停止計數! t: m# e2 k7 i( b
: f  _' s. \1 Z
移位暫存器的致能訊號就跟據計數器值來決定是否enable
4#
 樓主| 發表於 2007-9-20 22:07:30 | 只看該作者
感謝樓上跟2樓的大大...我今天想了想好像加個COUNTER去數最簡單...
5#
發表於 2007-9-21 19:05:49 | 只看該作者
reg shift[6:0];/ R$ L2 B6 x5 X: b. A
reg out,in;& b0 |$ B0 n/ \0 K" H% Z

( K9 C9 M0 J  l7 U{out,shift} <= {shift,in};
( w) \7 A; z* L: h* S% d' l# a* P
這樣不就是shift了嗎.....
6#
 樓主| 發表於 2007-9-22 11:22:49 | 只看該作者
可能是五的問題沒問清楚...囧....我現在是在寫TEST MEMORY的BIRA部分...因為執行完BIRA要做Remapping的動作...於是我把在BIRA內存FAULT INFORMATION的CAM SHIFT到
9 P9 Q' w& k% k  H% EMEMORY的WRAPPER裡...但是因為我的CAM有17個BIT..所以必須使他SHIFT的信號延長17個CLOCK...正如3F的大大說的我想到的是用FSM來寫COUNTER計數...而不是我不知道怎麼.." ~9 {1 e% H5 [9 V+ O
寫SHIFT_REG...造成大家的誤會真是抱歉
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-15 08:30 AM , Processed in 0.120515 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表