Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 5505|回復: 6
打印 上一主題 下一主題

[問題求助] [verilog]real 問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-9-5 13:41:44 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
首先,我老闆給了我一個工作,將一個c code,關於morphing(圖學)演算法實作在Altera DE2-70這個版子上 ,由於我還是新手沒寫過verilog code,所以我就去買書來讀,也在網路上找了很多投影片,都發現很多都有介紹real這個data type,可是我在實作時,compile錯誤 ,說不支援,請問一下,是本來就沒有還是我的compiler不支援而以,順便再問一下,如果有這個data type,那它是依照IEEE 754所定來的嗎?因為morphing裡面用了很多double,
$ t8 r7 b& a4 \+ y! ~& A7 ^
' {, ^) F. A& L' T0 _% h4 L$ x, w/ j/ ]0 R( O1 X# _: o
compiler: Quartus II 8.0 Web Edition: ~* K$ a' g# X: G) @& L( o+ _
os      : windows xp
$ v1 I- p1 G( a3 b8 T: S, f/ X, X- ?8 a7 A4 Y( H  X. A7 W) }: w& F
[ 本帖最後由 hieikk 於 2008-9-5 01:42 PM 編輯 ]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-9-6 20:49:12 | 只看該作者
real 的精確度 你想用硬體實現嗎 ?& |+ r/ ?. v# ^6 F( x: @
請記住硬體沒有小數點這種正反器 不然 F.F. 都要串成火車嘞ㄅ
3#
發表於 2008-9-8 12:23:19 | 只看該作者

回復 1# 的帖子

目前的Verilog還沒有這種東西, 你既是Altera的user,2 e$ ^! q8 d8 S' J8 y; E% p5 O% z
可以試著用DSP Builder這套tool, 幫你把演算法改成RTL去合成( D) k( h$ O1 R& a  E9 ?# s- y6 r$ H
對作演算法的人會比較輕鬆5 |- R8 c2 B2 i" `! ^7 P, |

7 q1 f4 E' y. C' j& Y8 l1 D或是你可以自己寫也行
4#
 樓主| 發表於 2008-9-8 14:46:45 | 只看該作者
原來如此,不過為什麼好多書上都有real這個東西 $ ?" Y0 B- E- a. k* }3 r- D7 Q5 b

, `8 X  k2 F+ c/ f: l0 Q- X
; A. U$ g  E1 C
% O" v8 x9 \/ f9 Y+ `/ T( T
- u0 ^5 w9 s( B8 f8 x( z# `8 `# {( b) E7 m. I2 I. d
感謝一下二位的回覆
5#
發表於 2008-9-9 14:29:33 | 只看該作者
'real'  only  for model usage , cannot use for synthesis
) [/ R1 \8 Q7 lyou can find many verilog model ( PHY, ADC, DAC ...) using 'real' # U0 H! o+ m! L7 B( o  c
but it just is a model , not a synthesizable  circuit
6#
發表於 2008-9-15 12:57:54 | 只看該作者
該real語法應該僅適用於Testbench的模組當中。而在合成時,並不支援該語法。
7#
發表於 2008-9-28 12:37:42 | 只看該作者

以后用SYSTEM C就可以了

以后可以用SYSTEM C,目前RTL综合不支持,不过应该可以作为testbench用的吧
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-7 07:44 PM , Processed in 0.122016 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表