Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 53741|回復: 51
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
跳轉到指定樓層
#
發表於 2007-8-28 10:40:06 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
如題% d& R- j. l4 \" k! r
小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區
, Y0 I. s( X2 ~& E' d  i如果不是麻煩版主修改一下囉8 q  X4 s7 N$ E) x
& A; \5 u! H; Z  J
目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一個0-5的記數器開始記數
/ ?7 _: Z# w5 A' m' B9 ]總之就是能夠記數0-59, 個位數記數0-9, 十位數記數0-5
3 g3 s4 X9 W( J' ^! W0 i
* ^" A0 i. j% S; W# [. m' i請問各位有人有設計過這樣的電路嗎? 或是要去哪裡找相關的資料勒?: z8 ^2 D5 O+ B( o
謝謝!

評分

參與人數 1 +4 收起 理由
kuannan + 4 謝謝小朱仔提供的code囉 對初學者 真是�

查看全部評分

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂2 踩 分享分享
51#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料
: }" |3 b& z- K謝謝大分享的講義
& p: k3 W1 A2 S0 y  R& y9 v# M$ Q回覆來看看囉!!- z- D$ P* a0 p5 W3 v4 Y
感謝
" {0 c5 n" c' s, r2 G
50#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧
# j. X" T2 W1 {這應該邏輯閘超多
49#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩, S9 Y& W9 G! j! H' e8 X3 D: U
48#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料& N5 I: M+ q) M# @/ n

7 \! ?0 l$ Y- Z+ N+ r& S感謝分享!!!
47#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
46#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
" r* W9 G: j1 m& I7 j$ n! b! g  W- L
45#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!2 s4 {- d) i+ y9 }+ U. j

- j. N: x5 S, H& z8 L& T% w* @( E& u" o5 Y/ \  ?

4 \' E0 e6 E1 H3 h
& h- n2 o6 L8 o; H6 O& B: p
) z" o! @$ s4 ~' d2 K% R6 d8 A8 Z* r4 C2 r

: k- q' s' I) ]4 s" H- C
% U# |8 I, q8 f# m" ^9 ]
# j$ Z  ?2 `, w- u! p! r
3 b# ?/ F( Z: k3 t- o8 ^; M5 C- v
* d: ?; f) t8 h  i1 ^bjcars.net% `8 ]7 P( O: p9 s8 E7 y0 p) k

( R1 o& q. {' s- `! }3 l* L+ m" |$ _1 m

+ g( ]2 T4 C# P+ e" C
9 ^, C6 @" _/ p9 p  q3 @3 b; p6 M
8 N7 p; N, G2 O" K2 {+ \0 H8 q) f4 |5 Y% _  M% \
. }: ]2 B; a; s3 K% s3 e

$ _) e" K& Q/ E0 G8 {, e2 Y/ v# S  @: O2 l2 E5 J' ^
, }- y! m) a+ R0 T  ]: U
/ ?- C; x5 {! \

4 J! u" Z8 }7 @3 M北京搬家公司电话
44#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話$ t2 P) t1 O' y+ B
可以上網找有沒有verilog code參考) ]1 \  |7 w% i
然後合成電路後再參考tool畫的電路來畫
43#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔 9 i3 [7 h  v" U( X) C

* }/ f5 z) F$ Q! i$ m+ f$ q& z: R1 n" x5 g2 S4 D: X; Q
   感謝您的分享,讓小弟多一份資料可以研讀。
42#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助
: c% K) I0 K1 D感謝分享!
41#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個
3 F! t1 X9 d" h" S; c% d輸入:clk, rst
. x$ k. g7 d( v輸出:tens(十位數), units(個位數)
7 Z9 |9 V# ], u8 r; `# y  N( X, I* i* R3 z5 b7 r) n: F% [
code :
: }) T7 U% D2 y: h3 J
' ]% q6 n; H) A' ~7 f7 X! _module BCD(clk, rst, tens, units);
+ i, d+ E" p' D( V, D  input clk, rst;
" m8 \+ `5 I: z" v/ u  output [2:0] tens;
, K6 \( E7 l, I0 A, \  output [3:0] units;
$ N" A) z" O' e  |3 [; D. q0 @  reg [2:0] tens, xtens;0 v; a  M1 L) G/ M* `6 O: b7 `
  reg [3:0] units, xunits;
# u0 T; I0 |' f7 {! I
' M" I- X% e/ h4 O  always@(posedge clk or posedge rst)2 j6 C! H+ C" j! w( S
  begin
0 k# h8 r* E) X: J, ?5 f5 h; i6 o# @    if(rst)
7 Q) S  D* M8 y" i+ Z# R+ I$ l    begin" A  i* A! j; A- ?# j$ a6 |( d
      tens <= 3'b0;
4 a+ V! C0 a) [) J; B7 b1 a      units <= 4'b0;
! X, |0 S9 q+ Z9 t: l. r. Q0 m$ j  m    end3 A6 A6 y- S& }2 `' Z
    else; T$ j3 ~/ Q' a) E8 W! y
    begin
/ m; p5 l+ y/ r( m! B9 f# f$ E, U: z      tens <= xtens;
! h. u" I4 K4 U+ [: a      units <= xunits;
# @, S1 h# g( i& l    end
3 y$ {4 o! s; n" \9 Z2 k! G, D# @  end
  {) c8 C+ o3 p* s* {6 O0 C, M( i
# D8 s! \0 D6 n; b" a/ X" y  always@(tens or units)
9 |1 {( a5 D0 }9 j- \, x  begin
! N. G2 g# A( c& [# M; @4 i    if(units == 4'd9)
% ^# S/ a& F- E: ]    begin& J8 q8 c* f/ n5 N9 G; ]
      xunits = 4'b0;4 Y# q( _" v* q; a, `/ E' c. ^" |
      xtens = tens + 1;
( n: P% a/ k/ I) U' f. Y% N- o      if(tens > 3'd5)
. y1 U( `( o) q9 T- v) T) }; g        xtens = 3'b0;  z4 [6 b. j2 K! R- g) w  e# i
      else# W, D" X$ \/ f  y$ e
        xtens = tens;
- A, r) G+ u1 f0 H$ b& Z4 q* L    end; e( T* X0 M9 H& [0 b9 y2 k8 h
    else
* o# Q% h; G, y5 |- y- P$ r    begin  O2 ~4 ^3 e8 u1 X) k& k5 @# M2 K2 |5 q
      xunits = units + 1;  c- M9 r; x! Q# ?) U: J, d8 T! P
      xtens = tens;" u: ?4 {  B9 s8 D6 h, @0 [2 q
    end
: i2 A' B) e3 k8 _  l  end
+ W* x' l' o' `0 w3 t* N: nendmodule
40#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用
- g" \+ p. A2 M& E$ K2 a9 m感謝大大分享
39#
發表於 2011-3-7 23:03:11 | 只看該作者
剛接觸這方面不久~還是個小新手
( P6 v) W( r8 O" q: _還有很多不了解的地方- O) i; Q2 Z4 j% I- ^4 a
這些資料幫助不小~
  a( _3 ]" D( x9 k9 F1 Z感謝分享~
38#
發表於 2010-8-18 16:32:36 | 只看該作者
感謝大大的分享6 J- f/ ?4 O, G+ B
剛好要需要用到這份資料
37#
發表於 2010-7-21 12:06:26 | 只看該作者
最近在寫控制Memory1 ~3 I+ H9 [9 T5 r9 u" q& j9 `8 U6 l; a
也在想怎麼寫個counter來計算已經存取的個數* C7 ?5 @* y+ x
以便進入下個狀態
8 o& c1 R( B  S8 O" V- `謝謝2樓分享
36#
發表於 2010-7-2 20:18:29 | 只看該作者
正在學習fpga感謝大大分享
35#
發表於 2010-3-18 10:46:41 | 只看該作者
我也想看講議..../ A" A  E# p1 q1 O' g
準備步入職場的初學者~"~
34#
發表於 2010-2-7 14:35:30 | 只看該作者
回復 1# monkeybad
2 C, O: K  Q! J/ `* O; N/ L5 c6 ~& s  B% K1 v: Q0 `
感謝小朱仔提供的code!!
33#
發表於 2010-1-31 23:36:31 | 只看該作者
B C D 編碼器雖然後容易,但是是進入FPGA的一個小小程式
, m- l5 v/ `( M8 v還滿有趣的
32#
發表於 2010-1-24 14:33:52 | 只看該作者
我也想看看講義寫了些啥~
! y: `0 u( i7 x1 M4 A' B% C9 i# _5 d, e
感謝分享~
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-14 01:11 PM , Processed in 0.172022 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表