Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
123
返回列表 發新帖
樓主: monkeybad
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
41#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用! ]+ c: I) [- v7 w
感謝大大分享
42#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個
  H/ @8 e' X) S4 }: `- J輸入:clk, rst
2 k: b  H8 R& v1 k4 I輸出:tens(十位數), units(個位數)0 N: o5 l/ R9 T/ Q( g$ {6 f7 B

) R) H. R0 v% t+ jcode :
  R* `( |4 a; a# N( @$ W) r
9 @9 y; K, i1 X/ m& dmodule BCD(clk, rst, tens, units);5 R7 U6 {) o, r+ S2 A7 p- D
  input clk, rst;6 _$ a' l: A/ v
  output [2:0] tens;
2 [  r0 P& f# ?; M2 m  output [3:0] units;2 ~, X8 f6 r# g0 X9 Y
  reg [2:0] tens, xtens;
$ n" Z  O7 g, o, ~5 c2 q1 H  i  reg [3:0] units, xunits;
4 Z( [3 y0 W( b- ?
4 B( p( ]3 f8 i1 s  always@(posedge clk or posedge rst)- X) x7 |; B- S) X  P( w' v
  begin
# k5 k8 H) F8 U& T6 I    if(rst)# d+ B( b8 E# ?# L* F
    begin' ?+ \% ]5 B; k. p+ y5 a# V% q
      tens <= 3'b0;# w0 E8 l+ A( T$ @4 Y1 Y
      units <= 4'b0;
9 l3 T. s4 r4 f3 i% `+ T8 {    end& O7 \! i6 t8 Q+ V% |# y
    else
+ @4 _7 {1 G" A* }' y    begin
  }( L1 l4 h! I9 P6 P/ i% G      tens <= xtens;! |3 Q' e8 Y  j. P6 x  M, I! K
      units <= xunits;: ^4 l( t+ Y& |1 i$ H; t
    end
3 j7 d5 f' \3 b* D7 w  ^6 J  end! m, j# M% T; T$ H
4 A; _& F/ ?4 y8 b3 g: }& }
  always@(tens or units)
) G' b# o& s5 I6 z6 Q/ `  begin
- O+ R. K2 C$ r4 ~) u0 J/ l; v+ k    if(units == 4'd9)$ U5 O" Y3 S. \
    begin
9 q& I3 l' V; R: W) ^4 m* H      xunits = 4'b0;
; H/ M  n6 t& `" Q      xtens = tens + 1;
# h3 X5 x# {3 y2 \) \9 F4 q      if(tens > 3'd5)  a$ N3 W4 ?4 Q# \8 l$ l( X8 d
        xtens = 3'b0;
1 D9 k! _' I, x) q# N      else* q. i1 H0 d8 ^2 G, {
        xtens = tens;7 F; t  H# z& p$ Y( ]
    end  G- J& u9 l! j' P& ~( `4 ?! Y9 X, {
    else, l& X( [  r7 z3 Y- \( T
    begin
$ `2 H5 q6 O+ ?2 g# H# o" S! L3 C      xunits = units + 1;
' Y7 p/ i! k5 ?( D) `      xtens = tens;
2 z5 @# j+ C2 }4 U, l    end1 Y$ x$ H5 f: @  k# K
  end
3 ~, X+ S- m1 oendmodule
43#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助
- q" H( \. U$ p8 X' x9 l. Y感謝分享!
44#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔 % `3 f4 `5 M2 P  E) v

8 Y8 }5 @& R4 r" W3 ]
* W0 Q% h4 v& ~! W   感謝您的分享,讓小弟多一份資料可以研讀。
45#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話' |6 O& h1 ?* S) C
可以上網找有沒有verilog code參考
! P  K; }' ?. l2 i* O2 {1 J: m然後合成電路後再參考tool畫的電路來畫
46#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!
1 A% G+ }1 ^/ {% Y$ x6 v, ^2 P! Y# @5 ^& T. I! ~* N. c3 A

# `4 c2 \' x$ z. `( {
* p% A( E2 K6 j' F8 k! M5 |+ l$ \- O" J- [8 V
# f6 j! r" j- W: w! S

: N) M3 t! r4 D4 e( y- u& P9 }0 u
/ \  c; h# j0 v3 Y! [3 `7 \$ I: a( I/ H+ d) F) @& z
, \3 R* Q" `: m0 x/ t
- {! c1 t& p( B. N
: t! ?- k! h( ]) ~9 i; Q
bjcars.net( T3 j. f9 @, m' E$ [) |. t7 r

7 \2 T- K/ N" P: X' T' x) X! `* }# I$ x7 b" T& m
- B5 u  [) X& Z' e6 F
6 g7 T) y* }) {6 N* K
( S' A1 F4 y( z; v8 ?% J- N" F

5 ]6 z5 j* z* s
0 _% ]5 P, n& p4 ~0 B7 h+ J
! S8 K, w5 n9 z) m1 `, c+ h+ p2 ~
7 Y9 Q$ V1 \6 R
* p% \% O# A* ~1 a3 E9 `
/ C4 U  h: x, X% Q
) ~* O! ^2 b3 H( i% s北京搬家公司电话
47#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~* Z: _' `: q5 ?) I
48#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
49#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料
; c. S1 Q1 U; A0 o) E5 ?' g
" O4 Q1 V( ~; q感謝分享!!!
50#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩
) v3 O( C0 a: P! A/ i
51#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧
+ a. m0 B/ h4 W* [這應該邏輯閘超多
52#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料
( M* r) W4 m- }* {/ W2 L謝謝大分享的講義* `0 M/ Z# d# B* d
回覆來看看囉!!
( D! l9 }) f3 k+ r" \8 u# }感謝
3 a9 `4 R; Z  f7 P+ E; i
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-1 02:10 PM , Processed in 0.137017 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表