Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 1873|回復: 0
打印 上一主題 下一主題

Silicon Labs推出新型PCI Express緩衝器簡化資料中心時脈設計

[複製鏈接]
跳轉到指定樓層
1#
發表於 2014-12-18 13:36:38 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
專為互聯網基礎設施提供高效能時脈解決方案的領導廠商Silicon Labs(芯科實驗室有限公司,NASDAQ:SLAB)今日推出專為伺服器、儲存和交換器之資料中心應用而設計的PCI Express(PCIe) Gen1/2/3扇出緩衝器。針對x86主機板和伺服器系統,新型Si5310x/11x/019 PCIe緩衝器是業界最高能效的扇出緩衝器,並擴展了Silicon Labs不斷成長的PCIe時序產品線。藉由彈性的輸出數量選項,新型PCIe緩衝器能夠完整滿足98% 基於x86的伺服器/儲存設備主機板的設計需求。3 ]3 V5 b: h! n6 ?
" {: M0 b. ^6 u1 s1 R
長久以來,資料中心設備製造商必須在有限的供應商中選擇透過主要x86 CPU和晶片組供應商認證的PCIe Gen3緩衝器。這些傳統的PCIe緩衝器通常基於十分耗電的恆流輸出技術,每一輸出至少需要4個外部終端電阻器以及一個參考電阻器,因此增加了物料清單(BOM)成本。隨著能耗和散熱成本逐漸成為資料中心設計的關鍵所在,開發人員越來越傾向於尋求既能提供最大能源效率又符合x86主機板嚴格規格的時序產品。Silicon Labs的Si5310x/11x/019系列產品能夠為設備製造商提供低功耗、標準相容的PCIe緩衝器產品,這些產品不僅通過主要x86 CPU和晶片組供應商的認證,而且也具備強大的技術支援。
  ~7 _! t! P1 l8 `$ n
" o0 B. p2 m; L  y4 ]超過90%的現有主機板設計依舊採用基於恆流輸出技術的PCIe緩衝器。為滿足這些現有市場需求,Silicon Labs新型Si53019 PCIe恆流緩衝器提供了一個完全認證的直接替換相容解決方案,並且相較於傳統解決方案能耗可減少30%。# T6 J0 g) m* l

4 S' x; g2 Q5 C0 X' q  K1 L* F* C為了進一步降低功耗,Silicon Labs的Si5310x和Si5311x元件使用創新的推挽輸出架構,可提供業界最低能耗的PCIe緩衝器系列產品。這些元件比恆流緩衝器減少60%的功耗,同時減少每路輸出所需的外部電阻器,顯著減少外部元件數量,簡化了印刷電路板(PCB)的設計。例如,透過使用Silicon Labs的19路輸出的Si53119推挽緩衝器代替傳統的恆流元件,開發人員將能節省近1W的能耗,並且減少39個外部元件。
/ O8 L3 A& j! j9 \+ c' v* k1 |) E; i3 x5 s  ?4 W
針對採用新型基於ARM®SoC的超大型伺服器和儲存市場的系統設計,Silicon Labs的Si5310x和Si5311x推挽輸出晶片也是最佳的PCIe時序解決方案。與基於x86的設計相似,應用於伺服器和儲存設備的ARM-based SoC平台,使用PCIe作為主要的系統資料匯流排和互連方式。隨著系統級能效逐漸成為超大型架構的關鍵所在,採用推挽輸出的新型Si5310x和Si5311x元件便成為伺服器和儲存平台設計的理想選擇(無論其採用何種CPU架構)。
1 B8 g- m3 j7 I3 H9 S
+ P) Z: f: I, L- M6 e能耗考量外,資料中心設備的製造商也面臨著保持訊號完整性的挑戰,因其通常需要在長達60吋的電路板之間傳輸時脈訊號。在如此長的距離中,PCIe時脈的上升和下降時間將延長並變慢,這也導致抖動性能降低,系統掉封包率升高。Silicon Labs的PCIe Gen3緩衝器設計能夠提供長距離時脈訊號傳輸,同時保持相容標準的PCIe上升和下降時間規格,進而防止抖動增加和掉封包的狀況。
' t+ }' }) o1 c/ o
. \8 E! L. S& ]) V$ }Silicon Labs的新型PCIe緩衝器系列產品支援6、8、12、15、19路輸出,同時具有恆流和推挽輸出緩衝器,這使得開發人員能為每個應用打造最佳的時序解決方案。Silicon Labs的元件與傳統PCIe緩衝器接腳和功能相容,透過強化能源效率、訊號完整性和抖動性能,可提供開發人員最佳方案。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-20 07:36 PM , Processed in 0.099005 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表