Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4963|回復: 1

M0+ M0 M3 M4 Soft IP Cores

  [複製鏈接]
發表於 2014-3-7 14:59:03 | 顯示全部樓層 |閱讀模式
遊客,如果您要查看本帖隱藏內容請回復

2 B7 |9 c6 L/ @+ U% Z' O, U0 n( [4 z/ U% o# J
iconstart@gmail.com
4 Z, Y) M6 I* U8 ?0 R* X2 a9 B
; I( H  [5 |( Q" @├─AT420-DA-03001-r2p0-00rel0
8 P6 Q8 y2 Z7 Q│  └─docs5 j% X. j1 y# c! N) W
│          DDI0337G_cortex_m3_r2p0_trm.pdf2 q! b' N$ G! U9 }* u/ N3 `7 o! w
│          % k. U5 q" x2 ]
├─AT420-DC-02008-r2p0-00rel0( x; s2 j. o' M9 i
│  └─docs
, M3 G# G: V/ j1 V: z  _# E0 [6 }│          DII0194A_cortex_m3_r2p0_csg.pdf  O3 [  F, X0 \( U# Q
│          " J0 l1 s8 p" m( I0 m
├─AT420-DC-13001-r2p0-00rel0
8 t' F- ~6 k7 V, A" @- O! r" ?│  └─docs
# Q5 }' W# m7 A5 d# t2 d+ b4 |4 `# O) h  O6 N; Z
│  │  │          CM3CodeMux.v
' a( ]9 H+ k4 E# {  T! N$ Z│  │  │          CM3flashmux.v4 r- B$ e. f' |% f$ Y6 c
│  │  │          CM3ROMTable.v' V2 D& _  t; `# {6 }$ f
│  │  │          CortexM3Integration.v  c8 x: Y3 B7 M! S
│  │  │          3 C' h5 Q  J- S& q
│  │  ├─dapswjdp$ G" b- j6 b5 y
│  │  │  │  README_DAPSWJDP( v/ ^% W3 p$ r* [; T% q
│  │  │  │  7 w; x) M' _; o/ z
│  │  │  └─verilog
$ G8 Y3 V: P* s# P) B: G│  │  │          DAPDpApbDefs.v. t7 m5 N* b5 g$ @' c
│  │  │          DAPDpApbIfClamp.v" B% ]. s" F# c3 [0 W0 U
│  │  │          DAPDpApbSync.v# q, B' V  s$ F* @1 P
│  │  │          DAPDpClamp0.v: w/ z2 w* W' t' O( X
│  │  │          DAPDpEnSync.v
, w" O/ P/ f+ P3 G: x│  │  │          DAPDpIMux.v
  `; ~8 ?/ ]' F# R$ X│  │  │          DAPDpSync.v
0 x5 _  m+ a% b' M- Q│  │  │          DAPJtagDpDefs.v: Q* ?! Q# B) ^- M
│  │  │          DAPJtagDpProtocol.v
& \. ]5 h6 t$ W7 u│  │  │          DAPSwDpApbIf.v' [0 s) D" ~; s- E, f
│  │  │          DAPSwDpDefs.v7 ?, h, o+ ]( b& U, V
│  │  │          DAPSwDpProtocol.v
: I( D8 W* I' c1 }│  │  │          DAPSwDpSync.v2 i% ?# G+ h; g9 P) m7 N5 Z/ d
│  │  │          DAPSWJDP.v
5 y6 u$ Q% N* o2 m; H3 l/ f$ I│  │  │          DAPSwjDpDefs.v& f3 {* |" o" Y. L" \
│  │  │          DAPSwjWatcher.v
1 a! v3 p1 T3 A) L1 L% d& |│  │  │          ( ~/ ~3 b+ i* R( D$ Q% `3 _* s  a3 c
│  │  ├─models
% ?% H: _1 x# R  z3 _% P│  │  │  └─cells" B# l, s9 A' m6 F
│  │  │          CM3ClkGate.v
. h0 j5 R8 i3 Z. z% Z│  │  │          CM3EtmClkGate.v; A! O! _& I) o) C
│  │  │          CM3Sync.v! Q6 _  U! d2 L, u, D
│  │  │         
) G" M: \) C( e* n│  │  └─tbench8 L9 V3 a9 V, j7 a  z" @
│  │      └─verilog  Z1 ~, m. @5 W$ k
│  │              AhbToApb.v' g* Q2 }. H  @& G/ B/ C! ?
│  │              AtbLogger.v0 L( B" j% `0 E2 h5 F
│  │              BusMatrix.v% k# z4 G' g# r/ F; v
│  │              ClkReset.v  h2 M) M, R( P; {+ u
│  │              CM3BusComparator.v" A: V/ p: z) |' b. j# n; ^/ R$ `' h
+ h9 A8 M% a" c- v1 ?

& _8 q1 V3 X4 S+ s6 {│      │  │  exclude_list.sc_waitstate; S, Z: P/ W, `  V
5 \$ |: c0 O- B6 K4 Y- N4 R
             │  └─fe_tsmc090g_sc-adv_v10_2007q4v2
9 \# W0 Q$ S$ Y. y) `             │      │  scadv_tsmc_cln90g_rvt_ff_1p1v_m40c.cdB
) I& n9 e) B8 t             │      │  scadv_tsmc_cln90g_rvt_ss_0p9v_125c.cdB
4 d, M0 N. @- y9 v6 ]/ i             │      │  scadv_tsmc_cln90g_rvt_tt_1p0v_25c.cdB. T  [* H( X3 n5 s6 l% b  w! E
             │      │  
3 m% h1 q! y6 X. r             │      └─scadv_tsmc_cln90g_rvt_tt_1p0v_25c_dv.cl; Z, i: L) z0 d5 K! g% A- X
             │              cells_1.geo7 G9 s' k# i  L4 K# ?( e9 n7 p
             │              cells_1.pwr3 x" d' ]  a4 P+ E7 a, I
             │              index.cli
# _  m  i0 o( c- J. W- u, I             │              README.TXT1 g" z' ^1 h; h( u% O  z
             │              rulesets: J6 W0 `  D0 ~2 Q0 X
             │              VERSION.TXT
7 |+ v* L/ q% o' {             │              vias_1.geo
$ N/ E' B! W; o             │              5 V. y$ r; Y% G. t$ S6 @+ n0 h
             └─tsmc+ N1 ~; U& A: |: a9 e1 o
                 └─t-n90-lo-sp-002-f1_1_6a_200609140 c4 h( x: l7 S; J; V& T7 a
                     └─6X2Z! C2 b8 ]+ U% B' B7 U
                             corner.defs
( F" ?: C5 y- y
8 x. I5 ]2 }3 E$ `/ P3 ~├─integration_kit# j( O& Q. \2 Y  g
│  ├─logical
( _9 S9 \, k$ |# n9 U0 B- t, \8 L│  │  ├─cm0ikmcu
  x" T3 c" U# M- X1 O: I) F│  │  │  └─verilog; L( @1 O9 [5 n9 K
│  │  └─tbench( y- N. [4 u& R; Q/ m7 h$ o% B
│  │      └─verilog
; @4 B  \5 z- q% B$ X. W│  └─validation/ ]: `0 w, m' T' k
│      ├─glogs. Q. x) B& T( n, P
│      ├─logs2 H' m0 L# L: B: \
│      ├─mdk0 o( p' g' u8 i, V- B" v, q
│      ├─srpg5 B4 A- Z. c( K0 A$ L6 k& {4 J
│      ├─tests9 z2 P" h# i; j/ v
│      │  └─CMSIS
, }6 S6 Q6 s6 O  n% l  f│      │      └─Core
/ T) b4 [, i$ V( j# }9 W5 @│      │          ├─CM0
+ u, y( O% m( w+ I│      │          └─Documentation; N( T  x6 u# P; |; t- D' u
│      └─vectors7 J! f4 {$ p9 [! ?
├─ipxact3 l3 I4 {! t3 j& l0 m
│  ├─busdefs
  k+ P1 ~7 k5 O: [; _0 Y; o│  │  ├─amba.com
/ y2 Z  o& G8 Q( {+ ^8 H; M│  │  │  └─AMBA3
4 K) N! l" z# f. u+ K│  │  └─arm.com
8 N# ], G9 \5 k! v7 n& }: c3 s/ ^│  │      ├─CoreSight% \6 K1 k1 V2 X6 r! I
│  │      ├─Cortex-M0
; P# h( z( j% ^- U│  │      └─CortexMCores
5 w& C1 X7 Y  c5 F5 i; @│  ├─channels
% t& j9 X2 x* v, h│  │  └─arm.com
4 N# b6 G2 J: E6 k! Y, \│  │      └─Cortex-M0" K$ f( K: J' X# R
│  │          └─rtl
& _6 p: f" Q9 `$ o" S& P, ^' n│  └─components$ Q$ ^; \1 S) V) z8 A  n: _3 V
│      └─arm.com
$ B' O% i8 Q# U1 r8 @, F│          └─Cortex-M0

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
發表於 2022-10-13 17:18:56 | 顯示全部樓層
感谢分享,学习一下。$ q0 C, l+ Y3 z  s
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-3-29 05:49 AM , Processed in 0.131008 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表