Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 9195|回復: 8
打印 上一主題 下一主題

[問題求助] 魚眼影像修正使用FPGA

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-10-27 14:27:51 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
想請問各位高手,小弟目前在研究魚眼影像修正,之後想要用FPGA去實現,但我不知道如何將一張jpg檔給存入FPGA的RAM,所以我就建立一個內建的ROM然後將我的魚眼影像(size 250*250)RGB值建成.mif檔存入ROM裡面,線在遇到問題是我在測試mapping電路時,輸出的修正(X,Y)的值是對的,但是當我將ROM的addrerss輸入腳接到我前面mapping電路的輸出時,6 z2 Y0 `0 p' c5 z3 s" X3 P2 ~
會使mapping電路的輸出(x,y)值錯誤,還有我如何將我修正玩的RGB值抓出來,因為我想要把它成建成一張影像,希望各位高手幫忙解答,謝謝
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2009-10-28 10:36:25 | 只看該作者
好浪費,用ROM table。不如將Block RAM做Cache還比較好。5 r$ n( l8 c! L  l- Y& }
我之前也是用FPGA做影像處理。但計算一般Webcam的資料流速640*480*24bit*30frame=27.6MB。除非您的FPGA有一大群,不然要如何存?
& b) [6 l1 N2 R4 N9 e我是做成PCI卡上面有FPGA、PCI Bridge及SDRAM,影像資料先由PC送到SDRAM,當然SDRAM控制器是自己寫的。% j9 p2 J  H) {7 r1 ~5 |6 r$ j
在做影像處理時,資料由SDRAM讀入,存在Block RAM。其他處理程序則是由Block RAM讀取一小片影像(不是一條掃瞄線)處理完成再存到另一個Block RAM等待SDRAM控制器寫回SDRAM。
* S, E* J. y: J1 V3 y這樣做,我可以傳送大量資料經由PCI Bridge到FPGA,處理完後再讀回做比對。
- O: y( G, T4 m& b4 S若是成功,再把PCI Bridge改成直接接CCD。不過還未做到這一步,計劃就改了。現在已經改成由GPU來做了,因為它可以做影像浮點數運算且處理速度更快。
3#
發表於 2009-10-28 21:36:50 | 只看該作者

為何要先做PCI卡?

答:因為要除錯,而且手邊已有卡可以修改,不用重新設計。
7 O  D9 p% d9 o- Y一般可能是用Soft-CPU的開發板,或是DSP+FPGA開發板。7 k( d; u& r2 D3 R, }
Soft-CPU開發板:上手門檻高,往往是不知死在那裡,HDL掛還是程式當。
) @/ s7 J: _; ]! X' }$ s. GDSP+FPGA:要叫DSP傳資料回PC,要再開發一套DSP程式,要學DSP及C。另外傳送慢也會是問題。- z) H+ e$ ^9 ^+ q0 g3 A3 M! v
PCI+FPGA:只要了解硬體及Windows呼叫函式就可以用了。Windows的資源要好找得多。7 L6 K! o* V" j
只用FPGA:希望您的模擬能力很強,且身邊有邏輯分析儀,直接CCD上了也可以做。5 n7 c% g1 @+ v# `& ~7 V9 K" B

  z( F' f# @! d5 Y$ S做影像處理,還是從單張圖片開始。一但CCD上去,有很多狀況完全無法理解。
9 T; _% ~2 \! y/ D8 K' Y* Y0 ?所以我會先用固定的影片先上去跑,還是回到PC比較容易做。
4#
 樓主| 發表於 2009-10-29 14:15:47 | 只看該作者

外包

我想請人將魚眼影像(size 640*480)RGB分別值建成的.mif檔是先存入ROM改成分次存入RAM透過FPGA分次處理(就是R資料處理完輸出之後再將G資料存入同一個RAM)等分別處理完RGB資料後再丟回matlab或是別的軟體重建成一張影像,也就是我需要有人幫我將我建好的.mif黨存入RAM,和將處理完的RGB資料從FPGA抓出來重建成一張影像,想請人外包的話要去哪找??金額大概??有人可以幫忙解答<(_ _)>
5#
發表於 2009-10-30 01:15:26 | 只看該作者
首先你要先知道魚眼如何還原,你可把靜態的照片利用matlab來驗證你的演算法沒有問題才能進行下一步。1 n( ^# b9 k" g1 ?5 S
0 d( t* y# V: \% `9 \! `
下一步才是FPGA的驗證,這時你需要的是資料的搬入跟讀出來,在影像輸入的部分可以用CMOS IMAGE SENSOR加魚眼鏡頭來當作輸入8 H9 x- a, \, ^% y

5 @' ^' U+ I/ `$ @然後利用FPGA內建的一些buffer來把影像存起來並處理,當然這部分所需要的影像大小取決於你的演算法。: q- Q9 \4 q% l% V6 a6 A

# u' T/ T7 S5 O' {最後在用FPGA透過一些介面如USB把資料放到電腦上去看就可以了。. U5 R& j8 u/ x- S, ?; W

  |1 U, D8 v# g' S若沒有考慮FPGA的話,matlab 也可以直接抓CMOS IMAGE SENSOR的資料,你可以直接處理。這樣比較快驗證你的演算法。
6#
發表於 2009-10-30 10:13:10 | 只看該作者

給你一個簡單的答案

使用SystemGen吧, 你可以透過GigaBit Ethernet自由的在FPGA及Simulink之間傳資料並透過Mattlab來比對資料或由Simulink直接將圖秀出來. 靜態畫面及動態畫面都可以.
& `$ O$ ^6 k' T( C( K整個系統看起來會很專業哦.( W1 X" ^% O6 w
板子不用擔心, 用開發板+一台有網路接口的電腦 (NB也行)就能用了.
! ^6 s) H2 t# z9 s2 q
( F: s8 u: F! I需要協助的話我可以請人幫你, 要外包的話也可以介紹高手給你, 但是金額你們自己談.
7#
 樓主| 發表於 2009-11-2 11:53:21 | 只看該作者
版大~那可以介紹一下嗎????3 \& g1 Q: S1 V# m! K
我MSN:kl9751@hotmail.com~感謝<(_ _)>
8#
發表於 2009-11-2 14:36:12 | 只看該作者
都幫你打點好了, 後續靠你自己囉, 加油5 u  d& [) y5 J
(快幫我加 "感謝" 積點100分...快... )
9#
發表於 2009-11-2 17:57:59 | 只看該作者
開玩笑的別太認真...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-24 04:33 PM , Processed in 0.106006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表