Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 20383|回復: 14
打印 上一主題 下一主題

KLA-Tencor 推出 Aleris 8500 薄膜量測機台

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2007-12-6 17:29:10 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
成為業界最先進的45奈米以下尺寸厚度與成分量測技術

. l) Q% J" u3 z* [0 o  P2 i" _
+ e+ Q- Z* ]% A6 k8 g美國加州聖荷西 — 2007 年 12 月 6 日訊 — KLA-Tencor (NASDAQ: KLAC) 今日推出 Aleris™ 系列薄膜量測機台,此系列由 Aleris 8500 開始,是業界第一款同時結合多層薄膜厚度與成分量測的專業量產型機台。其他的 Aleris 系列機台將在未來幾個月內以不同配備組合推出,以滿足 45 奈米 node 或更小尺寸製程中,對於薄膜量測的性能與量產成本控制的要求。$ ?" ]8 p' ]0 s6 Q2 I: P0 F

6 X7 X1 A% O  s% r8 p' _* tKLA-Tencor 薄膜與散射測量技術處 (Films and Scatterometry Technologies) 副總裁兼總經理 Ahmad Khan 表示:「當各式新型材料與元件結構大量湧現,並且顯著影響元件效能與可靠性時,我們的客戶需要更仔細地瞭解這些關鍵薄膜層的各式物理與化學特性。Aleris 8500 的核心光學技術不僅提供了業界最精確的厚度量測,並且藉由最新開發的成分量測技術,提供先進技術客戶們在閘極 (gate)和其它關鍵層的線上產品量測與製程控制。另外,Aleris 8500 還提供了功能強化的二維應力精密量測,可管理越來越多的高應力層。」8 B) U+ q1 A2 E: i
- W; w  ^. b$ {5 V; W7 K- ~. P
目前,晶片製造商通常購買個別的成分分析設備與傳統光學厚度量測機台,以取得厚度與成分的相關數據。這種不同機台的混搭會導致機台間不相容、產能利用效率搭配困難及生產量測程式無法共用等各種低效率作法。然而,Aleris 平台整合了各種先進關鍵薄膜光學量測與應用,藉此協助晶片製造商有效控制與降低成本,且縮短技術開發及量產化的時間。Aleris 8500 具備比現有分析方法高出 三倍的產能,且其非真空光學技術也克服了傳統分析技術的機台穩定度限制。這些完善的量產優勢讓 Aleris 8500 成為成分控制的最佳持有成本方案。
# R1 X3 b+ J2 v) o- u. T4 f7 \* z& l
Aleris 8500 以 KLA-Tencor 領先業界的 SpectraFx 200 技術為基礎,主要採用新一代寬頻光譜橢圓偏光法 (BBSE™,Broadband Spectroscopic Ellipsometry) 光學元件,在量測精密度 (precision)、機台間一致性 (matching) 及穩定性 (stability) 等方面均有顯著改善。此技術讓晶片製造商能夠驗證和控制先進薄膜,包括新型材料、結構與加工晶片。該機台獨特的 150 奈米 BBSE 可為成分量測提供更好的靈敏度,讓 Aleris 8500 成為業界第一款可用於線上產品的閘極量測與製程控制之單一機台解決方案。Aleris 的 StressMapper™ 模組能夠以更快的產能提供更高解析度,可用於高應力薄膜中的二維應力線上控制。
! F# C+ u% r& U$ t% w0 @9 O' c# O
( l6 G* ?5 d( i6 s) r/ vAleris 8500 目前已出貨給數個重要客戶,正用於 65 奈米閘極生產及 45 奈米/ 32 奈米開發。  ]& o+ }* W# n0 ?4 S) v. k
# k* {/ B, D, Q, @
Aleris 8500 技術摘要& Z2 B/ v! d$ Z' w+ ~
4 O4 P% z/ V0 h+ R" b  R& @  i
出類拔萃的效能
4 V5 i" Q) B7 d# ~  q5 O先進的 BBSE 光學系統( ]& I9 a) K( @
最新一代的更高解析度光學系統可實現從 150 奈米到 900 奈米寬頻波長光譜範圍內的薄膜量測。所有主要 SE 組件均經過重新設計,可降低光譜失真,並改善機台間的一致性。+ o" H* `/ ]- p' h4 J# t5 I" O2 Q
) x2 M6 G- L; w4 ]5 Y0 R
KLA-Tencor 使用的專利反射式對焦光學系統可讓光斑大小不受波長範圍影響。這極小的量測光斑,可提升機台間的一致性、量測精準度及穩定性等標準,可適用於更小量測框線之先進技術應用,與更嚴格的製程控制需求。
4 ?% R# `; N& O. T9 j% [% p
- p2 ]9 M$ d$ _& j! C最佳的反射式量測法選項
  q& [8 T- K$ A" X* Z9 Z" E* N5 Z紫外線反射儀 (UVR) 的新型設計可提供最佳的訊號雜訊比 (signal-to-noise ratio),比上一代產品增進十倍以上,改善整體量測效能。8 d1 U7 M4 i/ _
; f) T2 X! [" r" G
創新應用& W: d6 _, [* i" [+ O* H
成分量測
) }' E6 H( E# W/ E/ N# }9 G9 O, }8 [與上一代產品相比,Aleris 8500 的 150 奈米 BBSE 功能具備提升二倍的可重複性,以及提升四倍的機台一致性,可強化成分量測的靈敏度。這讓 Aleris 8500 成為控制氮化氧矽閘極 (DPN gate) 與高介電值閘極 (High-k gate) 應用中,產品晶圓成分與厚度量測的單一機台方案。
- V9 C$ ~' n+ s2 l2 t* f8 y
5 u# x5 T  _$ V. i9 ^增強對超薄 ONO 的靈敏度! ~! n1 v1 j7 N( M
150 奈米 BBSE 實現了 ONO 內高度相關的上層與底層氧化矽的量測。由於夾在兩層氧化矽間的氮化矽變得越來越薄,導致上下兩層氧化矽量測相關度提升,量測難度隨之增加。因氮化矽薄膜在較短的波長下可增加吸收特性,所以使用較短的波長可增加上層和底層氧化矽的對比。
& R3 S/ s1 t* _) O' M9 m% ]! M+ S+ h: h7 W/ `  y- x; U0 x# b
StressMapper 提供先進的應力功能.& S* T: ~6 X; U( ~0 e5 y
StressMapper 利用雷射光量測物面曲翹度,可提供更高的敏感度與解析度,實現真正的二維局部應力量測。強化的可重複性、機台間一致性及高效產能,能夠對具有複雜薄膜堆疊、不連續薄膜或pattern induced應力的產品晶圓,同時進行巨觀與二維應力量測的產品線上監控。此模組實現了前段製程 (FEOL) 低偏差與高應力薄膜的量測應用,例如氮化矽覆蓋膜與植入退火(implant anneal)處理。
$ d7 v$ R9 H& a( a1 ?8 L5 M4 V1 E9 J( _, m) C9 g: c$ _
關於 KLA-Tencor:KLA-Tencor 是為半導體製造及相關產業提供產能管理和製程控制解決方案的全球領先企業。該公司總部設在美國加州的聖荷西市,銷售及服務網遍佈全球。KLA-Tencor 躋身於標準普爾 500 強公司之一,並在那史達克全球精選市場上市交易,其股票代碼為 KLAC。有關該公司的更多資訊,請參觀網站 http://www.kla-tencor.com
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂25 踩 分享分享
2#
 樓主| 發表於 2008-1-7 15:35:48 | 只看該作者
KLA-Tencor 推出關鍵性 45 奈米晶圓之完善幾何量測解決方案
1 l+ _1 v) `6 e# f4 D
' B. E: C/ d% Q1 @# P  v/ h
美國加州聖荷西 ─ 2008 年 1 月 7 日訊─KLA-Tencor 公司(納斯達克股票代碼:KLAC)今天推出WaferSight 2,是半導體產業中第一個可讓晶圓供應商和晶片製造商以 45 奈米以下尺寸所需的高精度和工具匹配度,在單一系統中測量裸晶圓平坦度、形狀、捲邊及奈米形貌的測量系統。憑藉著領先業界的平坦度和奈米形貌測量精度,加上改良的工具間匹配度,WaferSight 2 讓晶圓供應商能夠率先生產次世代晶圓,並讓 IC 製造商對其運用的晶圓品質控管更具信心。  
* Y( ?1 ?& }& {8 m/ h: P8 m- u2 I$ h5 p: |$ f; x1 X3 }6 j
根據光蝕系統的領導供應商研究顯示,在 45 奈米製程中,晶圓平坦度的細微差異可消耗高達 50% 的關鍵光蝕聚焦深度預算。以 KLA-Tencor 公司佔有市場領導地位的 WaferSight 1 系統為基礎,WaferSight 2 系統能實現更嚴格的裸晶圓平坦度規格,並協助 IC 製造商戰勝聚焦深度挑戰,其快速精確的 45 奈米世代平坦度測量功能將使晶圓製造商和 IC 廠商雙雙獲益。5 I& ~( Q# o+ [6 S
- Y4 C& h3 j/ b$ j" q/ P$ y+ n
KLA-Tencor 成長與新興市場副總裁 Jeff Donnelly 表示:「在 45 奈米以下的製程上,晶圓平坦度、形狀及表面形貌的差異對製程區段、光蝕良率及其他生產製程的影響更大。與先前的 ADE WaferSight 1 相較下,新的 WaferSight 2 系統具備更佳的光學與測量隔離,可實現更高的解析度、匹配率和精度,這不僅能協助晶圓製造商大幅提升其製造規格,以滿足 45 奈米製程的要求,還能讓晶片製造商測量即將運用的晶圓,以確保生產的製程品質。同時,這套系統的產能可降低營運成本,並提升效率。」
/ `9 J5 P$ q. X- E% V( \( M; s
' E: M" y0 D0 e. e% K奈米形貌控制已成為 45 奈米節點的關鍵,因為它是化學機械研磨 (CMP) 中縮小製程極限的問題所在,且會引起光蝕中的線寬微距 (CD) 變異。新的 WaferSight 2 具備領先業界的奈米形貌測量效能和更高精度,並且是第一個以單一非破壞性測量方式進行前後兩面奈米形貌測量的系統。
- F+ e$ T* p% z6 p) [
; ]# f  C/ T/ t: \" a. W) UWaferSight 2 將平坦度及奈米形貌測量合併在一個系統上,與多工具解決方案相比,此舉可縮短週期時間,減少在製品 (WIP) 流程中的佇列與移動時間,縮小所佔空間,並提升設施的使用效率。WaferSight 2 還可與 KLA-Tencor 的晶圓廠資料管理系統 FabVision® 無縫隙地結合,形成一個可離線分析存檔資料或現有度量資料的完整解決方案,且可完全客製化圖表和報告。
& w4 c* G0 P- c% m+ V! j( }8 ?8 t" I) e  m
WaferSight 2 測試合作夥伴 Soitec 的 SOI 產品平台副總裁 Christophe Maleville 表示:「我們評估過 WaferSight 2 系統後認為,這套工具的所有測量模式均擁有領先效能,具備卓越的長期重複能力與測量穩定性。WaferSight 2 系統的先進效能使其適用於 45 奈米世代的生產,且在評估測試階段和實際生產中均表現出極佳的穩定性。WaferSight 2 已被接受用於矽和 SOI 生產,且 Soitec 將使WaferSight2 成為日後晶圓幾何度量向上提升的關鍵系統。」
7 E+ k) h3 Z0 B  E9 F$ h0 i1 L3 \: s0 C5 e0 C0 j. i, @
WaferSight 2 技術摘要
  l" ?+ w* t& f: f. R
/ p# ?, ?1 T. B! @% m9 J$ kIC 製程中的平坦度、捲邊和奈米形貌測量的重要性' j, j" q$ w# o5 ~
平坦度5 ^# E2 z, _5 B8 a
在 45 奈米節點,先進的光蝕光學技術可將聚焦深度縮小到 100~150 奈米。更小的聚焦深度對晶圓上平坦度變化的容差要求更為嚴格,因此,對於每個更小的技術節點,必須更嚴格地控制晶圓平坦度與形狀參數。WaferSight 2 可以勝任 45 奈米生產,因為它具備次奈米級平坦度測量精度,且其工具間匹配度比 WaferSight 1 提升了 200%。如此領先業界的效能可協助晶圓製造商提升良率,並協助晶片製造商降低對所使用晶圓的不確定性與風險。
6 \3 `/ Y3 X1 C2 H
# u$ U3 K+ Z: N7 V' ]6 |, b9 b+ Q捲邊
- o& i! R/ }% ]' {. ~晶圓鄰近邊緣區域(其定義為從邊緣開始 1mm 至 5mm 的範圍內)的幾何一致性是製造程序中的一個新挑戰。因為,與晶圓中心相比,鄰近邊緣區域存在各種加工差異。由此產生的形狀或厚度差異稱為捲邊 (ERO)。捲邊可大幅影響最外層區域的光蝕焦點控制,以及該區域的化學機械研磨 (CMP) 一致性。; j8 o- m7 W& H1 _; Y2 N! u

, I; O; q2 |0 y& O7 uWaferSight 2 的 ERO 測量提供的準確資料,可幫助控制晶圓邊緣區域的捲邊效應,並提升晶粒良率。使用 WaferSight 2 可對角度 ERO 差異進行定量分析,在晶圓供應商間、晶圓與晶圓間、和晶圓內部的差異在 ERO 資料中皆可一目了然。這種 ERO 差異會影響 CMP 中邊緣覆膜厚度的一致性,因此,控制晶圓 ERO 成為實現CMP預期效能的關鍵。 9 P* h6 _! y% M( c! v

+ ?8 P1 U) m- m0 }0 n- l' e; s奈米形貌" h; Z6 j5 [) c9 _
奈米形貌是晶圓上的奈米級高度差異,由透過測量大約 2mm 至 10mm 的區域得出。國際半導體技術藍圖 (ITRS) 指出,從峰頂到谷底的奈米形貌可能僅有幾奈米差距,因此奈米形貌的精度會受到測量系統上卡盤效應 (chuck effect)的影響。WaferSight 2 使用全邊緣卡夾的晶圓操作系統,在測量平坦度和捲邊資料的掃描中,也會擷取來自晶圓前後兩面的無贗像奈米形貌資料,並藉此消除卡盤效應。
3#
 樓主| 發表於 2008-2-12 16:57:37 | 只看該作者
KLA-Tencor 推出 Aleris 8310 與 Aleris 8350 機台  擴充 Aleris™ 薄膜量測系列產品! j* R5 M- r  L8 c- _* @

2 C" a/ N* r+ U2 m! D% a美國加州聖荷西 ─ 2008 年 2 月 12 日訊 ─ KLA-Tencor 公司 (NASDAQ:KLAC) 今日宣布推出 Aleris 8310 和 Aleris 8350,為 Aleris™ 薄膜量測系列增添兩款新品。這兩款新機台採用 KLA-Tencor 最新一代的寬頻光譜橢圓偏光法 (BBSE™,Broadband Spectroscopic Ellipsometry) 光學元件,讓晶片製造商得以測量多層薄膜的厚度、折射率與應力,滿足先進製程的薄膜度量要求。
# R+ c& R! W4 v  Q1 q! ^% n% A8 v' B5 j1 O' `' h7 ~, u( f
Aleris 8310 和 8350 為 Aleris 8500 的系列產品之一。Aleris 8500 系列於 2007 年 12 月問世,是業界第一台可在單一機台上量測成分與多層薄膜厚度之量產型機台。Aleris 系列機台讓客戶能夠依照精確的技術要求與成本條件,量身打造所需的設備。+ W# T* k* g# T  C, l! x
; e. g: l+ K% T
KLA-Tencor 薄膜與散射測量技術處 (Films and Scatterometry Technologies) 副總裁暨總經理 Ahmad Khan 指出:「新的 Aleris 產品線可為晶片製造商提供一組可高度配置的先進薄膜度量工具,該工具採用單一平台架構,適用於晶圓廠的大量生產以及未來技術開發應用。透過將此獨特的技術組合標準化,我們的客戶能克服現行混用不同量測機台與其間不相容之情形,藉由一套全方位的量測解決方案,靈活滿足整個晶圓廠對 45 奈米及以下尺寸的效能及成本 (CoO) 要求。」1 o' p6 J9 J) d  c7 d, X. ~2 Q

% ?' ^7 ]: z/ x" _7 rAleris 系列產品' b& u& y+ m8 b/ [* _* l! ~, X
9 K5 w( V! t8 o
Aleris 系列產品以 KLA-Tencor 領先業界的 SpectraFx 200 技術為基礎,針對晶圓廠的各式應用設計規劃。Aleris 的設計採取可高度配置的模組化方式,在量測產能、取樣以及可升級至未來節點間,靈活彈性組合。與前一代產品相比,Aleris 系列的新型 BBSE 技術提供更低的光譜失真、更高的訊號噪訊比 (signal-to-noise ratio) 和更高的光子通量,能顯著改善一致性、精確度及產能。也由於採用更進步的硬體元件和最先進的軟體架構及資料庫,Aleris 平台具備比前一代產品更高的可靠性。, W' u" G, P2 F- q$ l9 n
, w7 X4 x: l0 ~) }, ?/ c
Aleris 8500 於 2007 年 12 月推出,採用獨特的 150 奈米 BBSE 技術,是業界首款在單一機台上實現閘極成分與厚度晶圓監測的量產產品。
# O# E) a. R1 J- D' s, B$ E. }' p; k$ p
Aleris 8350 是業界最佳的先進薄膜厚度與折射率量測工具,能滿足客戶更嚴苛的製程容差。8350 涵蓋了最廣泛的 45 奈米應用,包括散射、化學氣相沈積 (CVD)、蝕刻及其他領域。由於光譜精確度、光學靈敏度及穩定性的提升與強化,BBSE 技術與前一代相較,可提供增進 2 倍的厚度精確性和 4 倍的折射率一致性。該系統的量測框線尺寸縮小了 20%,可測量更小的切割線。與前代工具相較,新的 StressMapper 技術能夠以更高的靈敏度及產能實現後段製程 (BEOL) 中對關鍵薄膜上整個晶圓應力的一致性進行監測,例如超低電介質 (ultra-low k dielectrics) 和前段製程 (FEOL) 中的高應力襯底 (high-stress liners)。( `$ [; u4 m% h( o. x; M$ C$ d; |3 e

% x4 U) i# h, U' X: O, h; Y: OAleris 8310 是一套具成本效益且可靠的生產解決方案,具備領先業界的產能,適用於簡單的厚薄膜應用。Aleris 系列的模組化設計具備可擴展性,能夠滿足客戶在面對眾多設備節點下,不斷成長的需求。相較於前一代產品,其產能提升了 30% (SE),達到 60%(反射測量),可藉此降低操作成本。高產能也提供高取樣率,對於必須進行 100% 取樣以滿足客戶嚴苛要求的 SOI 基片製造商而言,此點特別重要。- b6 r" t8 c' b; V* T3 G9 [$ T

2 Z, B9 m3 L* L9 ]1 s8 p7 h- H; T一套相容的 Aleris 系列可供整個晶圓廠的眾多應用領域共用,藉此提升生產力的靈活性和產能利用率,同時顯著降低量測成本。Aleris 系列還使用共通的規則、訓練、軟體與備份零件,以推動晶圓廠的進步和效率。
6 \  J) o1 u1 l8 w
  g- b+ _$ k9 ]) yAleris 系統目前已出貨給數個重要客戶,應用於其全球晶圓廠所有部門 (記憶體、邏輯和晶圓代工) 的生產及進階開發領域。
4#
 樓主| 發表於 2008-4-30 17:26:00 | 只看該作者
KLA-Tencor新光罩檢測技術可執行多缺陷檢測  並篩選可印刷缺陷! P; z$ K# G, j" e: h

6 X" K+ T1 [" U6 W! {美國加州聖荷西 ─ 2008 年 4 月 30 日訊 ─ KLA-Tencor 公司 (NASDAQ:KLAC) 今日推出最新光罩檢測技術,名為「晶圓平面光罩檢測 (Wafer Plane Inspection, WPI)」。這款突破性的多功能光罩檢測技術,是業界首項可以在單一系統上尋找光罩所有缺陷、並顯示可印刷至晶圓缺陷的技術。WPI 不但能勝任對良率至關重要的 32 奈米光罩缺陷檢測,其運行速度也比先前的檢測系統的快 40%,並且可能可以縮減檢測在整體光罩生產中所佔的時間。/ v$ u& {5 \) V  j7 q9 H

. ?* w8 Q8 S2 RKLA-Tencor 光罩及光掩模檢測部副總裁暨總經理 Harold Lehon 表示:「在 32 奈米技術中,對於以多種模式檢測光罩缺陷的需求逐漸增加。有了 KLA-Tencor 的 TeraScan HR 系統及其最新的 WPI 功能,光罩製造商及晶片製造商不但能夠尋找所有關鍵缺陷,還能清楚區分哪些光罩缺陷可能被轉移至晶圓的印刷電路上。有了 TeraScanHR 獨一無二的技術,製造商將能夠在光罩檢測和晶圓廠良率間建立具成本效益的直接連結。」0 K; e( N+ t2 v% y
. W; g! N! W: i; f9 F9 n0 U
採用業界標準的 TeraScanHR 光罩檢測平台,其先進的軟體演算法與影像計算技術提供使用者三個不同平面的影像:光罩平面 (reticle plane)、虛像平面 (aerial plane) 及晶圓平面 (wafer plane)。WPI 獨一無二的建模演算法還能在關鍵光罩區域自動增加系統靈敏度,降低晶片良率的缺陷經常出現在這些區域。經由多個 KLA-Tencor 客戶的實地測試證實,相較於需要較小像素的傳統檢測,WPI 可以在最先進製程節點中使用較大的檢測像素,降低光罩檢測時間最高達 40%,以提升擁有成本。 % E+ ^2 F* A3 P& M  ~! r" ~
& b" i) q) [' Z7 J
WPI 已被證實可滿足晶片製造商在關鍵 32 奈米技術中對缺陷靈敏度的需求,且 WPI 技術正和美國及台灣的領先晶片製造商聯合進行 beta 測試。配備 WPI 的系統目前已出貨給多家客戶。2 ~7 i+ e7 h3 R& M8 `8 H  L
) @* _8 i. s& h1 O  i
關於 KLA-Tencor 的 WPI 技術曾於國際光學工程學會 (SPIE) 第十五屆國際光罩專題討論會╱日本光罩大會上發表的技術論文中提及。該篇論文 (Wafer Plane Inspection (WPI) for Reticle Defects) 由英特爾公司及 KLA-Tencor 公司共同撰寫,文中介紹了在聯合開發計畫期間,對 WPI 檢測創新進行的評估。
8 s& \# x: E, ~( p+ B0 T6 f: C4 ?
# o. O0 B% D$ X- Q. v該篇文章指出,光罩檢測的目標高度依賴於最終使用。+ `: ], y& m* M3 A1 T$ v
1.對於光罩車間:* H5 [9 d, x, t- [5 U7 s, p
  .尋找實際印刷或影響晶圓良率的缺陷。
/ z9 ?( E9 i% h8 {  .尋找不印刷但卻提供對光罩製程深刻洞察的缺陷,並縮短光罩開發週期。! n6 n. @, M) G
2.對於晶圓無塵室:
/ E0 f# a6 p+ m9 c% @$ Q/ T( m  .提供可能限制良率之缺陷的早期檢測。
5 ^/ n. d6 u1 i4 T, @0 }. o0 j; ^6 ]& m: P
WPI 與高解析度檢測的結合滿足了上述所有三個目標。該篇文章的考察研究證明,「在許多測試中,90 奈米的檢測像素尺寸可以替代在常規模式下 72 奈米檢測像素 (高 NA 模式)。在 WPI 模式中 『移回 (migrate)』一個像素的能力 (即增加像素尺寸),在不損失對關鍵缺陷靈敏度的前提下,可縮短光罩檢測時間約 40%。」) o/ m0 b  t$ T; |
( g; g+ j0 c6 Z0 ~" I- T
晶圓平面檢測 (WPI) 技術細節( ^6 y) |+ l+ ]) ~6 z

% k1 o) j" U# i  ~) f4 U高解析度光罩檢測技術能夠檢測到限制優良率的光罩缺陷,也能夠檢測出不直接限制良率的缺陷。7 l/ c$ c  i2 z! n1 G( U
$ W' m+ z" m! I/ U, }7 ^
KLA-Tencor 的 WPI 檢測技術結合了 TeraScanHR 系統的超高靈敏度影像獲取技術,和具有超強計算能力的超級電腦,可以進行最新的計算光蝕演算法。具有高度計算能力的 WPI 技術利用來自光罩 (稱為「光罩或光罩平面」) 的透射、反射光圖形和缺陷資訊,來建立全面高解析度的光罩模型。計算光蝕技術運用此高解析度模型,將光罩圖案轉變為在晶圓上的最終印刷影像 (稱作 wafer plane)。WPI 的成功有賴於其能夠從 TeraScanHR 檢測系統獲取超高解析度的透射與反射光圖形,以精確的數學方法來重建最終的實際光罩圖案。WPI 技術還能讓光罩製造商篩檢出對光蝕有顯著影響的缺陷,忽略那些不影響光蝕的缺陷。; [, T0 i3 o4 v' _8 \% W9 ^

% I- K# d2 u; h: b1 T6 zWPI 使用 KLA-Tencor 的 TeraScanHR 系統提供的透射、反射光產生的高解析度影像。依靠計算與演算法技術所取得的最新進步,可以產生如同在光罩上的精準圖案模型。透過光罩影像模型,在系統的超級電腦中對演算法進行處理,以判斷如何根據使用者定義的光蝕條件在實際晶圓上印刷光罩圖案。這會降低對非印刷缺陷的靈敏度,同時在通常有大量印刷缺陷的「危險」區域展現更高的靈敏度。篩檢出印刷缺陷,並且讓檢測系統不被過多的光罩製造工藝缺陷所淹沒,將缺陷檢測靈敏度集中於關鍵區域是非常有效的作法。
2 b0 }, I; {7 x
  U9 G4 o! Q* |+ q5 w+ Y; ~1) 光罩圖形 (pattern) 還原是晶圓平面檢測程序中的第一步。一種新的計算光蝕演算法將來自檢測系統的透射與反射光影像轉換為實際光罩圖案的模型表示,包括光罩上的圖形缺陷 (pattern defects)。這個關鍵的第一步要求使用高解析度透射與反射光影像來對高精度的光罩圖案建立模型。光罩還原是最關鍵的步驟,讓 WPI 能夠產生高度精準的結果。
) L, ~# f2 d# x# ~% V" {0 p" D9 S3 S5 ?
2) 中間步驟 — 虛像 (aerial image) 建模 —使用 193 奈米掃描曝光機的成像程序模型,把上一步還原的光罩圖案生成如同光罩在空氣中的「虛 (aerial)」像。這種獨特的建模方法在生成虛像過程中可高度控制並具有靈活性,包括使用任意光源,或實際測量的掃描曝光機的光源光照模型,而不僅僅是理想化的光照模型。
4 l) y- O3 o& C3 Q* |7 H
" @5 k) r/ n) j$ ?# P% [6 T) Q5 f3) 晶圓平面建模與缺陷檢測 — 透過計算光阻在哪裡曝光,虛像會被轉換為光阻或「晶圓」平面影像。當系統在晶圓平面或光阻平面上建立完整光罩影像後,由於幾何圖形的缺陷訊號與晶圓 CD 誤差之間只有在光阻平面上是線性關係,所以缺陷檢測便在光阻 (晶圓) 平面上進行。為了實現缺陷檢測計算,一種新的演算法將光罩的透射與反射光影像精準轉換,表示為如同其在晶圓上出現的影像。由於曝光時間和焦距參數可以靈活地進行離線調整,單獨一次檢測掃描即可得到跨越許多不同焦點與曝光點的檢測結果。
9 U+ p6 }6 y2 K( Q! @& ]. k; T+ f5 m3 }7 j; _) G3 y2 L0 ]

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
5#
 樓主| 發表於 2008-5-16 16:15:44 | 只看該作者
KLA-Tencor 啟動新加坡新廠房  奠定亞太區業務擴展根基
, \/ V4 C3 A! J( V$ r% n# G
: ~$ V1 M5 d  U* M新加坡 ─ 2008 年 5月 16 日訊 ─ 半導體製造及相關產業的製程控制解決方案全球領導廠商─ KLA-Tencor 公司 (NASDAQ:KLAC) 今日正式舉辦新加坡廠房的揭幕典禮。透過這座新廠房,KLA-Tencor將可大幅度提升高精度製造能力,並擴展大規模的訓練、銷售與整體功能。
6 L: b/ W; U5 G1 I" l# N
6 _$ w4 I* f6 _這座面積廣達 17,400 平方公尺的新建廠房,位於新加坡中部,將為 KLA-Tencor 領先業界的製程控制產品製造提供一流的無塵室空間,並且具備寬敞空間可供未來擴展。KLA-Tencor 的產品幾乎廣泛應用於全球的半導體晶圓代工廠,這些最新的系統能夠偵測出最先進晶圓製程中晶片上的奈米級缺陷和偏差。
7 N1 w) h% C" u; V7 R' Q1 P1 z
6 |! I$ p; j+ H% l7 ~9 J+ |/ DKLA-Tencor 執行長 Rick Wallace 表示:「我們兩年前便開始在新加坡進行生產製造,這項非凡的成就奠定了我們營運擴展的基礎。隨著我們亞洲客戶群的比重不斷地成長,這座新廠房的落成象徵我們邁向擴增亞洲區的製程控制產品與強化該區供應商合作關係的重要一步。新加坡是一個重要的區域性樞紐,將為我們未來的業務營運提供重大優勢。」
0 b5 G( e) |; h: B; [' M2 @# T9 x( u8 p2 U
Wallace 進一步指出:「我們也非常感謝本地及全球的供應商,他們持續積極地參與我們共同的專案。透過完整的供應商網絡,我們得以在 KLA-Tencor 系統中使用先進元件,進而滿足高標準且期限緊迫的工作。這個供應網絡絕對是世界一流的團隊。」3 J- [. M, c" T/ @, e; z

1 B' x" A& w' T: s% r5 }& y6 AKLA-Tencor 慶祝新廠房揭幕的活動包括記者會、 執行長 Rick Wallace 及新加坡貿易與工業資深國務部長S. Iswaran 的演講、員工感謝活動及廠房參觀行程。
0 A- X& I. y0 D7 @' ]8 t
) B' s6 F/ z" z! f9 y+ t由於KLA-Tencor 約有 70% 的客戶來自亞洲,新加坡新廠房的啟動對於 KLA-Tencor 的全球業務策略將可發揮關鍵作用,進而持續強化亞洲在地的實力,。
& ?2 o5 d8 y) }0 ]3 S
3 t/ e- N0 L3 y. hKLA-Tencor 亞洲區營運副總裁 Theo Kneepkens 表示:「我們的新加坡團隊讓我們備感驕傲。他們不僅超越了我們對產能、貢獻及努力工作的所有高度期望,並且迅速達成並超越我們嚴格的技術標準,精密設計、製造且按時交付一項非常複雜的產品,而這項產品是我們公司最重要的技術之一。」3 u1 D- X, T/ G4 ]" C
6 f, w  w: j$ T0 B8 k
KLA-Tencor 東南亞總裁 Dan Lee 說:「我們從現在開始逐漸將特定的業務過渡到這座新廠房,在新加坡的擴展將成為 KLA-Tencor 優化全球策略的轉捩點。我們在新加坡的工廠是 KLA-Tencor 全球化策略的關鍵策略,讓我們能夠滿足瞬息萬變的客戶需求,快速反應市場變化,進而與客戶達成更密切的合作關係。」
6#
 樓主| 發表於 2008-6-7 00:18:54 | 只看該作者
KLA-Tencor 針對 32 奈米光蝕控制推出 Archer 200 疊對量測系統
4 g* L; k- C, ?) E
- ?& R2 @* q6 Y5 C, C: q
美國加州聖荷西 ─ 2008 6 6 日訊─ KLA-Tencor 公司 (納斯達克股票代碼KLAC) 今日推出最新的疊對量測系統 Archer 200,搭載強化的光學系統,在32 奈米設計規格節點中,協助客戶達到雙次成圖光蝕(Double-patterning lithographyDPL) 所需的更高要求,大幅提升性能。
) y$ W1 _1 O, L% V' g* Y
  V" o5 x7 s0 D4 oKLA-Tencor 疊對量測部副總裁暨總經理 Ofer Greenberger 表示:「32 奈米設計的疊對預算已被拉到極限,尤其是採用雙次成圖技術晶片製造商希望能同時提升疊對系統的精準度與速度。在廣為人知的光學成像技術上,Archer 200 系統進一步提升了效能優勢,可滿足 32 奈米光蝕控制各層要求。有許多強化的功能,已經採用 Archer 的廣大用戶可以直接進行升級,將投資報酬極大化。透過與主要光蝕供應商的緊密合作,我們提升了高階疊對的控制技術,協助晶片製造商在執行雙次成圖時,達到更進階的掃描曝光機校正與監控。」& u+ c" m1 W3 X" G7 Y

* \1 e: \' @& w6 VKLA-Tencor 持續投注於先進成像技術推動自身產品線的疊對技術不斷前進,研發工程師在 Archer 200 系統的核心光學設計上獲得重大的突破。相較於上一代的 Archer 系統,這些研發成果讓機台的一致性提升超過 50% ,產能也增加了 25%。其中,機台間的一致性是疊對量測中一項關鍵的衡量標準,因為不同的系統必須達到幾乎完全相同的層對準。這個強化的光學系統還搭載了重新設計的光路,能夠通過更多的光,因而測量更快,產能也隨之提高。新的相機管理演算法,能夠加速系統運作、降低雜訊,進一步提升產能和精準度。
0 O  w. x' q1 r$ w4 Q; Y6 O6 J; }# q- Q; ?) Y! w7 @
32 奈米設計規格節點為疊對量測帶來了兩項獨特挑戰更高的晶片密度及光蝕雙次成圖。為了克服這兩項挑戰,客戶必須增加疊對取樣,更有效率地運用先進疊對目標。Archer 200 讓晶片製造商能夠使用符合業界標準的 AIM� 目標,或者是更小的「微 AIM(µAIM�) 目標,可以被置入晶片內部的不同位置。這種強化的疊對目標性能,足以最大化掃描曝光機對準,進而最大化設備產能。
% Q; y  _/ |) K( r/ b  h$ Q- N" b: h! n" M6 o& k
客戶還可以選擇在 Archer 200 上增加 KLA-Tencor 先進的散射測量技術,在達到特定 32 奈米以下的線寬測量要求中,擁有更大的靈活性Archer 200 系統提供了一個配備先進散射疊對 (SCOL�) 測量功能的模組作為選項,它可以實現次奈米級的總度量誤差1 W" L! _: g0 c! e0 }
(TMU)
,讓有興趣的客戶能夠採用 SCOL 技術,而無需多個專屬系統。
  \5 ]; y2 _4 m  P3 s$ j: u: I
: e$ f! ]/ e$ ?- rArcher 200 系統已被多家晶片製造商採納,運用於邏輯晶圓廠和記憶體晶圓廠的45奈米生產及32研發,包括美國、歐洲及韓國等地區。3 A( U5 L) s0 g

3 |( q, Y% c3 V  r  p7 e) IArcher 200 技術概要
% z5 ~4 s2 ^% ]; _' F3 Y0 k0 r& @
5 r8 j  n7 [7 b4 X雙次成圖光蝕 (DPL) 需要更多成圖步驟,並進行更多的疊對測量步驟以便多次曝光。隨著日益縮小的設計規格,DPL 在測量機台所容許的疊對量測預算中,協助降低了步驟的功能性。
2 ^- b% [9 @' v3 M) _  z5 i) S# K' g3 {; z' W, K
光學系統重新設計* z& C1 u# D2 q9 G. Q# ^: d
Archer 200 新的光學系統在成像效能上顯著提昇,也增加產能因此整個板上的規格更加嚴格。1 F8 j* T' A# [
; }3 u0 ~9 t; }" H; y7 H! F
§使用具備先進分析能 AIM 目標技術及 µAIM 目標應對 32 奈米節點挑戰實現雙次成圖所需的高階疊對控制和多層測量
- G2 i4 {) S% P- I1 P§縮減20% 的「移動 - 獲取 - 測量」(Move-Acquire-MeasureMAM) 所需時間至0.6秒,提高產能' K! t* J3 L4 t" v+ P4 Q# `8 I0 \+ h
§提升總量誤差 (TMU) 的規範嚴格度25% 以上 (1.0 奈米)2 f$ M8 B0 J" C* `
§提高機台一致性 30-50%" ]( |) n8 ^, u8 u3 J8 D1 Y4 t2 i( o
§改善機台系統誤差 (TIS) 20% (0.8 奈米)+ p. |! b" S  L! T) b1 I! C; p7 j
§增強測量的可重複性% P! S6 I4 E0 E7 r; g% R
1 i" R0 b/ J2 C3 N) g2 L) A
高階疊對控制獲得改善; z& \( F: k3 K- m& j4 ?2 ~

! J$ u5 n9 ]2 \# Y* E3 k$ h5 d& x32 奈米世代光蝕中的雙次成圖不僅需要更高階非線性製模還需要更佳的區域級(field-level) 與柵格級(grid-level) 掃描曝光機對準及效能。近期的資料顯示,由線性轉變至更高階的模型後,疊對控制可獲得顯著改善。與僅有高階柵格校正相比,高階柵格與區域級校正可顯著改善測量擁有成本。
5 z; `2 |, W# Q. k" n5 y. M: N
3 L' h" M+ J2 g& Q* J散射疊對測量 (SCOL) 技術3 `5 N' ?  E8 P% f+ f$ y6 Y
標準光學成像技術在性能及總度量誤差方面具有相當高的效能;然而,以 SCOL 為基礎的技術補足典型的量測技術的不足,並減輕了先進製程中可能發生的潛在風險。但是,由於以散射測量和以成像為基礎的技術均具備優異性能,因此途徑的選擇應根據個案決定。光學成像與 SCOL 的結合是一種獨一無二的低風險組態,具有極佳精準度且符合 AIM 目標。  {9 T6 t( W4 |6 f

  i- a, R, P* O1 {" [% M0 O§結合光學成像和SCOL能帶來最佳的投資報酬率和擁有成本,有最高產能、保持記錄程序 (POR) 的現有目標縮短開發週期6 H, Z- Q/ M7 s4 K3 p1 F. O4 {
§提供無需專屬工具即可測量所有層的靈活性, @6 O+ c3 Q- S/ i
§提供已安裝現有客升級途徑
* V* z/ k0 x& r6 J2 l4 z$ s8 W: r§延伸至結合疊對與 OCD 的次世代產品
7#
 樓主| 發表於 2008-7-9 10:29:59 | 只看該作者
KLA-Tencor 推出第代電子束偵測系統 eS35
: t2 b  f" q% _- P
實現 4Xnm 3Xnm 生產
美國加州聖荷西 ─ 2008 7 9 日訊 ─ KLA-Tencor 公司 (NASDAQKLAC) 今日宣布推出 eS35 電子束偵測系統該系統能在更高的速度下檢測並分類更小的物理缺陷以及更細微的電子缺陷。eS35 屬於 KLA-Tencor 的第代電子束偵測系統,它具備更高的靈敏度,能改善單機檢查及分類大幅強化吞吐能力進而提升 4Xnm 3Xnm 產品的良率。' m0 I- K% B( c- C7 h$ E5 Y
' D" H6 U/ _& M8 _5 i4 l
KLA-Tencor 電子束技術部集團副總裁暨總工程師 Zain Saidin 表示:「對於擷取和發現最小缺陷,以及那些只能透過電子特徵檢測到的缺陷,電子束偵測至關重要。隨著晶圓廠已開始研究 4Xnm 3Xnm 節點,我們的客戶反應現有的電子束偵測系統無法持續擷取某些缺陷類型例如 DRAM 中的高縱橫比電容底部的微小殘留物、先進快閃式記憶體中的細微位元線橋接,或邏輯產品中的表面下短路或管線連接。KLA-Tencor 身為為電子束偵測技術的領先企業能夠利用我們豐厚的經驗和資源不僅改善電子束系統本身,也運用奠基於我們頂尖光學偵測系統的專用影像計算技術,來解決此問題。因此我們開發出了靈敏度和吞吐能力皆無與倫比的下一代電子束工具讓晶圓廠能夠以高靈敏度在線內操作此工具。eS35 旨在讓我們的客戶能夠儘可能快速、高效率地生產他們的新一代的產品。」
# p2 A" G* g# d: h
! w0 Q) p5 q9 x% N/ IeS35 擁有更高的電子束電流密度、更小的像素和更快的資料速率可提升最小缺陷擷取率比起領先業界的前一代技術6 N6 Y) i9 v# k7 v
eS32
且其吞吐能力提升了二至四倍。這些改善來自更低的雜訊基底和先進的演算法,可在整個晶圓的每個晶片區獲得最大靈敏度。由於具備更高的靈敏度業界最廣泛的電子束條件和預掃描條件選擇 eS35 能夠在最高的缺陷類型和材料範圍內擷取缺陷。 # c3 W* N6 N, u, ]- n! ^# [. Q* p

: h- R* X5 }; KeS35 擷取有代表性的缺陷群之後新的強化單機檢查功能可以提供關鍵缺陷的高解析度影像。以規則分類為基礎的應用程式,採用 KLA-Tencor 光學偵測系統的演算法,能對缺陷進行高精度和高純度的分類。分類結果會被編制成為缺陷帕雷托排列圖缺陷或良率工程師可依此圖來矯正缺陷偏移根源同時盡量降低對製造中產品的影響。
1 N' h+ U0 |# \/ l, E ; R( B) Q  v% i; P7 a# [6 A
eS3x 系列的電子束偵測系統廣泛被先進晶圓廠採用,並獲得極大成功。KLA-Tencor 由此基礎上開發出的 eS35 系統將提供給亞洲、美國和歐洲的記憶體及邏輯晶圓廠。該系統目前用於 6Xnm 5Xnm 的產品生產,改善 4Xnm 並開發 3Xnm,擷取前端層和後端層上的各類缺陷。
1 _, i/ T2 `2 R0 G7 o% g; O
4 i; R; @! F8 F9 eeS35 技術摘要6 P0 A  Q2 n( h
. V2 {& d) D5 \% b/ v6 }
業界領先的缺陷擷取率
8 i  l& i6 {8 X  C  M3 ^靈敏度
1 H7 t% D  c8 w1 ^8 k' FeS35 電子束偵測 (EBI) 系統推出了多項技術改善提升了限制良率的電子缺陷和細小物理缺陷的擷取率。電子束密度較前代系統提升了 2.5 倍,其更小的束斑尺寸和硬體進化可全面降低雜訊基底,提供更高的密度,解決最小的物理缺陷和最細微的電壓對照 (VC) 問題。演算法可從 KLA-Tencor 的明區檢測儀獲得,可降低雜訊,並為晶片的各個部分帶來更高靈敏度,進而獲得更高的關鍵缺陷百分比。缺陷圖便可顯示出對於電子測試圖的更強相關性。4 ]3 D7 o( j# T
  Z: n! F; O" A, J' I& r( H
更廣的擷取缺陷類型
4 x8 V% |" \; z% a' i( oeS35 結合了業界最廣泛的電子束條件- q$ v1 D! d/ G. r0 |4 |3 J
(
電子束電流、Wehnelt 電壓、沉澱能量) 與更寬廣的預掃描條件選擇能夠處理的材料和層範圍廣度位居業界之冠。
2 @5 c+ g/ w- {' A1 A; j" e+ w8 W
4 `9 ?8 C3 c3 p& s# G* W系統性缺陷檢測4 g& d0 [) z% w+ S
eS35 採用了 KLA-Tencor : D. c. M7 |" Z
mµLoopTM
專利技術,以避免系統性缺陷可能對良率產生重大影響。無論是否提供測試晶圓,mLoop 代理都能更快地檢測出系統性缺陷,這對於邏輯和快閃記憶體產品尤有助益。
, N1 Z$ ?' B" z7 m7 X 4 s$ ~9 X3 \. Z6 k; r5 {* @, N4 D. Y
更快產生可操作的缺陷帕雷托排列圖
3 w+ s: `2 S) F( `" E& T+ k5 R吞吐能力
* G" _( x" F( P3 ^* Y2 heS35 偵測系統資料速率提升到 800 mpps並具備更高的電子束電流支援像素遷移吞吐能力是前代系統的二至四倍。更快的速度可以支援更高靈敏度的操作,或更具有統計意義的可靠抽樣,以實現更嚴格的製造控制。! n5 Z4 L! l/ P% Y# t6 C
1 F  d( u( Z  D! k3 J8 t
缺陷檢查和分類
: B1 P3 @) t* w1 ~6 U- peS35
+ [0 P" g; d- X& i  E# D. _改善的單機檢查影像品質和新的缺陷分類演算法讓工程師能夠快速地找到並解決缺陷問題。更好的檢查影像品質支援更快速的配方設置奠定更準確缺陷分類的基礎。基於規則的分類與最近鄰近演算法相結合,將提升分類純度和精度;另外,基於即時環境的分類自動將 VC 缺陷歸入具體的微結構。5 D; X7 I6 E% M& ^/ ^0 A5 s, b$ s3 J
) u  f7 h4 m  w- O* c) w1 Y

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
8#
 樓主| 發表於 2008-7-11 10:23:28 | 只看該作者
KLA-Tencor 推出可計算黃光雙次成像的電腦模擬軟體
美國加州聖荷西 ─ 2008 7 11 日訊 ─ KLA-Tencor 公司2 W+ Q  ]! Z& D# U" `7 F4 E" B2 K
(NASDAQ
KLAC) 今日推出領先業界的最新版黃光電腦模擬軟體
. r+ \7 M; X5 ~PROLITH 11
。這是首項能提供使用者評估目前雙次成像技術的工具,協助使用者在設計、材料與製程開發方面符合成本效益,探索光蝕挑戰的替代解決方案。這款新型黃光電腦模擬軟體,同時支援單次成像和浸潤式曝光技術。7 R* ~0 b/ [, w: f" y: q9 ^9 C

& Y' F. V+ {) q( l, V1 |$ b3 O/ XKLA-Tencor 製程控制資訊部副總裁暨總經理 Ed Charrier 指出:「由於光蝕複雜度和實驗成本的大幅上揚,電路設計師與晶片製造商必須面對雙次成像光蝕 (DPL) 帶來的挑戰。要控制這些成本,計算光蝕已成為一項必備的工具。在黃光電腦模擬軟體中,PROLITH 11 具備獨具一格的優秀能力,讓工程師能夠探索廣泛領域的設計、材料或製程條件,不必耗費晶圓廠的資源而解決特定問題。」. Z3 j2 q. g' Q: |/ g  [8 ?( I
* E- B& K7 J0 g
雙次成像光蝕是透過將圖案分為兩個交錯圖案,來構建先進元件微小線路的一種方法。這代表 DPL 層必須要一個雙光罩組及新的光阻材料,而這會增加製程的複雜性及成本。據專家預計,32 奈米世代的一組光罩價格超過四百萬美元,這樣的成本大力促使晶圓廠徹底了解一個雙次、雙光罩、雙光阻的策略,要如何在自然製程條件範圍內在晶圓上沖印,以確保光罩設計、材料和製程參數能夠一次到位。7 h1 q  N4 c8 q+ X/ d# _$ I  x
5 |) J1 w; ?9 {* s
PROLITH 11 讓工程師能夠以前所未有的精準度來製作這種複雜系統的模型,探索光罩設計、光阻屬性和掃描曝光機、或是所印圖案上的製程參數大小變化等帶來的影響,進而使用該模型對系統進行最佳化。透過 PROLITH 11,晶圓廠可避免在產品晶圓上進行耗時又昂貴的實驗,這些實驗不僅會耽誤產品的上市時程,並會產生上千個報廢的加工晶圓。1 Z: E3 N% g# z8 @3 D
! N8 P2 {' k( k; X$ D8 U# H0 A/ r
PROLITH 11 黃光電腦模擬軟體隸屬於 KLA-Tencor 為解決先進光蝕挑戰而設計的系統,目前已被美國、日本和台灣的領先晶片製造商採用。PROLITH 平台包含市場上最為廣泛使用的光蝕模擬工具套件,應用於目前遍及各家晶片製造商的65 45 奈米製程開發。2 {# ~3 ^) f# I
- |$ F& k# b- H& N" ~, J* p2 T
, c0 h% N: i! j! v9 b
PROLITH 11 技術摘要- C2 Y, R; v+ d2 ?" E; ^; O

- n. u* }* Q- H基本並嚴謹的計算
( h' w0 z8 u3 W: M6 {8 n! v$ a
  • PROLITH 11 是唯一能模擬雙次成像特定形貌和計算沖印第一層的變率將如何影響第二層的黃光電腦模擬軟體
  • PROLITH 11 的結果建立在基本光學與動力學模型之上。
  • PROLITH 能夠適應:
    • 複雜的薄膜層積
    • 內嵌的基底形貌
  • PROLITH 11 光阻模型能夠使用 IC 製造商、光阻廠商、研究群體及公會提供的資料進行校準。

! G# {. R, t" ?+ z0 z採用結果外推法解決問題
/ \" a2 z, k; @, `! u8 _' `/ e
  • PROLITH 11 模型可用於探索:
    • 新型光罩設計
    • 新型光阻
    • 不同的掃描曝光機設定
    • 不同的製程參數
# H0 l1 T3 j; r. M$ o' D
補充全晶片模擬器的不足1 ~  A/ f& ]( S) B
全晶片模擬器的設計是在 24 小時內對整個晶片進行最佳化,而 PROLITH 則能補充其不足之處,可在數分鐘內以完整細節模擬晶片的一個微小區域。全晶片模擬器的結果可以應用於一組設計與製程條件,而 PROLITH 的結果則可從產生模型的條件下有效外推,藉此探索各種解決方案。PROLITH 的結果可用於判斷全晶片模擬器運作時的最適宜條件。
9#
 樓主| 發表於 2008-7-16 16:17:15 | 只看該作者
KLA-Tencor 針對科學及太陽能量測推出新型 P-6 表面輪廓儀系統
美國加州密爾必達 ─ 2008 7 16 日訊 ─ KLA-Tencor 公司
4 ?& }$ F5 q3 [9 ?6 M+ a4 v9 N' s2 ?(NASDAQ
KLAC) 今日發布最新的探針式表面輪廓測量系統 P-6™該系統針對光電太陽能電池製造等科學研究與生產環境,提供一組獨特的先進功能組合P-6 系統具備以先進半導體輪廓儀系統開發的測量技術優勢,但採用了較小、較經濟的桌面型機台設計,可接受最大至 150 釐米的樣本。. |1 C$ p4 k/ W) q
7 n/ Q7 }- \, t& Q! I* b" j
KLA-Tencor 成長與新興市場集團副總裁 Jeff Donnelly 表示:「我們很開心能推出 P-6 探針系統該系統將為科學與太陽能客戶提供應用上最佳的功能組合。對於太陽能市場,P-6 能夠在開發階段提高太陽能電池效率、監控生產製程品質所需的解析度、掃描品質以及自動控制能力。」% e8 L* S9 B4 p
) S2 A6 ?' r8 v% g4 L) v8 \
P-6 探針式輪廓儀包含了 KLA-Tencor 自動化 P-16+ 探針式輪廓儀的眾多功能,充分承襲其最佳技術與效能:' r  W; L7 z2 p8 [5 ?
* s# H( C# _( m
·6 I2 ]/ c' ]0 M6 ^
低雜訊基底可改善對微小表面特徵的測量靈敏度
! L+ e6 S4 F+ J$ d·* E3 X9 F. z/ e# v4 G4 V$ \
小於 6 埃的階高可重複性,確保了嚴苛的製程控制
/ t4 o/ r2 Y& t/ J4 o& a0 r% q·
* y, {, D7 |, ]' v8 j
150 毫米 X-Y 樣本載台可實現覆蓋整個基底的單一測量
2 A2 y; G9 [8 M) f& ~  s! o; U·/ I/ }( `( F3 k
2D 應力測量與分析可將缺陷降至最低並提升良率
( f( Q5 Q3 f" \2 {# z( L; t·
, G/ A5 u2 Y7 l( I  ?* C+ i5 ?
功能強大且容易操作的分析軟體,能提供高階應用的靈活性( i- G1 T7 i* @7 Q: \# V
$ u- d' W  w: |4 Y0 l
P-6 輪廓儀已通過重要太陽能產品製造商 BP Solar 的合格認證。BP Solar 技術副總裁 Eric Daniels 表示:「經由對 KLA-Tencor P-6 系統的評估,我們證明對於多種表面量測應用系統的一系列製程條件,具備高靈敏性其中包括 ARC 薄膜、導線觸點及前表面紋理結構。對於支援我們的技術開發與生產改善,P6 是一項極富價值的工具。
6 K" i% w  Y6 X9 J) Y9 z$ y
2 m2 x2 E" w4 J7 ~7 s. s% B+ q* r* FP-6 系統於; V9 K. A% S/ M/ ]4 y6 [  M
2008
7 15 17 日在舊金山舉辦的 InterSolar 北美展會上展出,KLA-Tencor 的攤位編號為9252
2 f! h& M4 f9 l( C1 {( p' l/ v" X- \
10#
 樓主| 發表於 2008-9-5 14:41:28 | 只看該作者
KLA-Tencor推出全新控片檢測系統 Surfscan SP2XP
) a7 a/ P" b' {! n: b
提升晶片生產及開發效率 協助加速4Xnm以上的晶片生產與3Xnm以下的晶片開發
9 A( ^- ]# s* i0 W

9 K9 ]! F; n' \* I. G美國加州密爾必達 ─ 2008 9 5 日訊 ─ KLA-Tencor 公司(NASDAQKLAC) 今日推出專為 IC 市場設計的全新控片檢測系統Surfscan® SP2XP,這套新的系統是去年KLA-Tencor針對晶圓製造市場推出的同名工具。全新的 Surfscan SP2XP 對於矽、多晶矽和金屬薄膜缺陷具備更高的靈敏度,相較於目前領先業界的前一代產品 Surfscan SP2Surfscan SP2XP 加強了依據缺陷類型及大小分類的能力,並配備真空搬運裝置和業界最佳的生產能力。這些功能將協助晶片製造商在晶圓廠內實現卓越的製程工具監控,加速業界尖端的 4X 奈米以上元件上市時程。Surfscan SP2XP 還可提供超高靈敏度操作模式,加速晶圓廠對 3Xnm 2Xnm 的次世代元件開發。4 T' C( I' T; F, l$ [' r. \* ?
- w0 v+ v3 _8 y1 ^! l
KLA-Tencor 晶圓檢測集團副總裁暨總經理 Mike Kirk 表示「高效能元件製造商面臨著製程複雜性日益增加,而元件的市場蜜月期日益縮短的挑戰。Surfscan SP2XP 系統能快速檢驗出製造過多缺陷的製程機台,協助糾正錯誤,將晶圓報廢率及良率損失降到最低,並減緩產品上市時程的延誤。我們的新機台不僅在靈敏度和產能方面有所提升,還導入了將微粒自微痕和殘留物區分出來的功能,同時無需耗費 SEM 覆檢的資源。我們深信,Surfscan SP2XP 將能協助晶圓廠加速先進元件的產出。」
& J" K+ d* C! L0 D- x% ]0 `1 d5 o+ k8 T4 c4 R
KLA-Tencor 改善了光學機械和訊號處理的設計確保能夠捕捉到在矽晶圓、製程前端和後端薄膜上最細微的缺陷。獨特且具專利的多頻道架構,和創新的演算法,讓 Surfscan SP2XP 系統能自動區分缺陷類型。與領先業界的前一代產品 Surfscan SP2 相比,Surfscan SP2XP 具備卓越產能,能促使晶圓廠每小時檢測更多晶圓,或使用更高靈敏度的設定,且不會影響產能。Surfscan SP2XP 延續了該平台的一貫好評,並具有極佳的可靠性、易用性及系統匹配性。
; o* z5 V4 k( L0 E# P3 s% |% M2 ]8 I$ W& O! g: q
業界對於 Surfscan SP2XP 系統具有高度期待,KLA-Tencor 已收到來自亞洲、美國和歐洲多家晶圓廠設備製造商、以及邏輯晶圓與記憶體代工領導廠商的訂單。KLA-Tencor 2007 1 月針對晶圓製造市場推出 Surfscan SP2XP 系統的晶邊承載裝置版本後,已迅速取得了市場的廣泛肯定,每家晶圓製造領導廠商都安裝了該產品的多項系統。
% D4 z( G) J5 S. S: e9 @8 u" F8 [) V+ k
技術摘要" Y" w( C" I6 S/ }: \( J
2 u4 c5 ]/ }) z9 i1 S9 @& G
相較於前一代產品,Surfscan SP2XP 控片檢測系統在機械、光學和訊號處理子系統方面均更為精進,包括下列優勢
+ x! ?, I7 a" n" g5 `) r) s1 K( M6 y
·憑藉光學機械、電子和軟體方面的綜合改善,產能最高提升 36% & @+ \* _+ m# @+ N% O2 O& r1 q
·獨特且具專利的多頻道架構 Surfscan SP2XP 系統能自動將微粒從微痕、空隙、浮水印和其他殘留物區分出來。
7 O' D4 r! d1 @5 X7 L
·導入超高靈敏度模式 Surfscan SP2XP 系統足以勝任次世代晶片的開發。  r( S2 w& e0 \, R9 f9 M
·光學機械的創新強化了此工具對多晶矽、鎢和銅等粗糙薄膜上缺陷的檢測靈敏度。結合該平台在光滑薄膜上的基準靈敏度,這一全新功能讓 Surfscan SP2XP 平台能套用至整個晶圓廠,讓晶圓廠的經營效率隨之提升。
! Q1 t8 a: r# T+ {採用全新的微分干涉相差 (DIC) 頻道能捕捉到淺、平、淡的關鍵缺陷例如殘留物或凸起點,避免這些缺陷均可能造成的元件故障尤其針對先進元件。- R# a5 Q5 M: X. y
0 l2 {* n* w6 u! e

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
11#
 樓主| 發表於 2008-9-30 11:59:40 | 只看該作者
KLA-Tencor 推出 Candela 7100
8 Q0 F$ E* r% f
實現新一代硬碟機缺陷檢測技術

; T, f  r8 a. x' U  B) v
) l& P1 K$ J: a+ `
美國加州密爾必達 ─ 2008 9 24 日訊 ─ KLA-Tencor 公司
$ \3 ?/ O! Y. k* B$ H(NASDAQ
KLAC) 今日推出Candela 7100 系列,是針對硬碟機基片與碟片的高階缺陷檢查及分類所研發的新一代技術。7100 系列延伸了倍受業界肯定且已用於量產的 Candela 系列,可幫助製造商識別並分類各種次微米級 (submicron) 的關鍵缺陷,諸如凹陷、凸起、微粒及隱藏缺陷等,進而最大化良率,降低檢測總成本。
3 T0 Z2 V1 V0 \, R1 S+ Q
! }# P2 g: o0 V6 j$ ?( YKLA-Tencor 成長與新興市場集團副總裁 Jeff Donnelly 表示:Candela 7100 系列代表我們在光學表面分析技術上的創新延伸,這是一項具備空前缺陷檢測及分類技術的工具廣受客戶認同。憑藉著更高的靈敏度和分類能力,7100 系列的多合一解決方案可降低對其他工具的依賴,協助我們的客戶降低成本,更快取得結果。」# j* D8 v, y* V  I

  w5 L; [+ X9 W! b0 R5 h硬碟密度的持續成長代表著更高的技術要求,要達到更低的表面污染
# ]1 G/ z9 B/ M7 ]2 a(surface contamination)
水準、更平滑的磁片表面、更高靈敏度以滿足較小的缺陷尺寸,在製程早期控制關鍵缺陷也更形重要。此外,隨著讀寫磁頭的機械飛行高度逐漸降低,微小缺陷對良率的衝擊亦隨之提升。Candela 7100 系列具備業界領先的高靈敏度,是一項足以解決上述挑戰的理想解決方案。" ?$ J1 l4 d$ J: U* Z' g7 Q7 t

, Z8 Z. X, W6 g/ X# P2 H  a硬碟產業必須維持優異的性價比以滿足客戶,因此製造商必須維持成本競爭力、更快取得結果,並採取統計數字上最佳的決策。Candela 7100 降低了對眾多非生產性工具與方法的依賴,諸如原子力、掃描電子與透射電子顯微鏡,以及電性測試。目前需要透過眾多檢測工具才能完成的分析,現在能夠以更快速度和更低成本,完成於單一機台。; ~/ p! U! v4 \$ F7 o

& K8 ?+ |' a( A6 p) A. JCandela 7100 系列正接受儲存科技領導廠商日立環球儲存科技& a9 m) ?9 l; G4 G+ C
(HGST)
的認證。' a3 I' O( O0 K7 u% w, R/ K9 R

! l# Q8 n- F6 y4 h' z8 EKLA-Tencor 已開始接受
; v& t  E1 O) Y1 eCandela 7100
系列的訂單,預計 10 月份開始出貨。( g# l3 U* J2 C/ v7 ~9 y) O

6 A! K6 b9 K: b5 cCandela 7100 技術摘要
) ?6 {0 l4 x% Z- [+ c& z+ b) W4 B; d. k6 {
Candela 7100 系列體現了 KLA-Tencor 對資料儲存市場的持續耕耘,這項創新技術也顯示了 KLA-Tencor 針對下一代圖形化媒體需求的發展腳步。Candela 7100 系列的新功能包括" b6 D( C+ @+ I5 R) N

9 j: S1 o2 r% D2 ^8 t5 K' h·一個新的雙波長雷射配置經由最佳化可達成更高靈敏度、更好的雷射輸出與穩定性,在基於各層的 (layer-based) 功耗模式上,對應用設定有更高靈活性。
* B4 H. B: y( s2 y7 B
  Y- s, e9 R( k·多個獨立的散射檢測儀可以檢測並分類多項次微米級缺陷,諸如凹陷、凸起、微粒及隱藏缺陷等。
5 Z5 W+ j$ r+ z
" d- J# }  j( W更進步的的相位、光譜與磁通道,在捕捉瑕疵及反映高階垂直錄寫 (PMR) 磁碟表面上,提供了更高的靈敏度與穩定性。/ m) l) a$ _0 b  W9 p; j
1 J+ E: h/ U% @' `9 V7 s/ }" l4 [' B5 a

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
12#
 樓主| 發表於 2008-10-13 11:51:28 | 只看該作者
KLA-Tencor 新版黃光電腦模擬軟體PROLITHTM 12 ( p- g5 @$ X( z( k  O" @4 Z
進一步克服 EUV$ P( J# C- P7 P/ {$ i
微影挑戰
美國加州密爾必達 ─ 2008 10 13 日訊 ─ KLA-Tencor 公司 (NASDAQKLAC) 今日推出最新版的黃光電腦模擬軟體 PROLITHTM 12。此新版本將協助業界頂尖晶片製造商及研發機構的研究人員能以具成本效益的方式,探索與超紫外光 (EUV) 微影相關的各種光罩設計、黃光製程材料及製程的可行性。
  {% L% Y) c/ l" F& e- M 7 D* l! q; r$ E+ z
縮小晶片上的最小線寬尺寸,能讓晶片廠生產出更快的微處理器,但是在成像過程中,用於曝光光罩的光波波長,會限制所能形成的最小線寬尺寸。在過去幾代的裝置上半導體產業一直使用深紫外光 (DUV) 光波,而波長更短的下個世代,將會是 EUV 的天下。專家預測EUV 微影技術,有可能創造出比現今最強大的晶片還要快一百倍的元件。9 o6 Q- x! z  F: h, Q2 Z) [
% U8 g6 i. n+ s
KLA-Tencor 製程控制資訊部副總裁暨總經理 Ed Charrier 表示「在業界的技術藍圖中,儘管 EUV 微影被列為幾年內將用於半導體裝置生產的可能技術,但仍存在若干技術障礙需要被克服。我們的新版黃光電腦模擬軟體 PROLITH 12讓研究人員精準地模擬出 EUV 光波和光罩、成像材料及製程之間的相互作用以預測最後形成在晶圓上的圖案。透過 PROLITH 12 的協助研究人員將無需使用試驗材料或原型製程機台也不必經歷昂貴又漫長的程序,沖印數百個測試晶圓。」
# s1 O5 z0 }  I * Q6 S0 j/ R" Z1 \6 m0 ~! q
微影波長的轉換向來是一項重大任務,因為新的掃描曝光機、新的光蝕材料和新的光罩都必須重新開發並測試。要轉換到 EUV 微影,必須克服新的挑戰。由於目前所知的光罩材料對 EUV 都是透明的,所以必須使用來自不透明光罩的反射光來刻畫晶圓。這個重大變化,導致印在晶圓上的圖案不對稱。而
( T& m+ Y2 ^' p/ TPROLITH 12
就是專為解決此類 EUV 系統特有的挑戰而設計的軟體。. W7 A& v& c( z' Z3 d5 W! u

+ Z, ~! w& U" r8 e2 \& G; q : |6 I9 ^  `( D0 E
KLA-Tencor 領先業界的 PROLITH 平台再度升級,推出 PROLITH 12,此版本也 KLA-Tencor 先進微影解決方案組合中的一項關鍵產品。PROLITH 12 已獲得美國和亞洲的半導體產業研發機構及先進的記憶體製造商採用協助研發工程師判斷 EUV 微影的可行性並確定實現 EUV 所需的製程條件。
13#
 樓主| 發表於 2008-10-15 15:42:52 | 只看該作者
KLA-Tencor
& l- O* _+ t* N% f% g5 [' ~- |
延伸& Y7 |5 F* I2 k% I! N
WPI 技術優勢至所有類型光罩
美國加州密爾必達
8 I$ k- l' v: w- o3 V. |8 ]─ 2008
4 \" E) d# r6 C, w# P0 l
10
/ U7 I/ C% N- V4 h7 N
15
日訊 ─ KLA-Tencor 公司5 A3 X7 o% I2 `% Q0 k/ ]. B+ I# D
(NASDAQ
KLAC). W" l& l$ p' m! _% D
今日推出最新「晶圓平面光罩檢測! m4 Q( B2 R0 B5 N2 R' R
(Wafer Plane Inspection™
WPI)技術中,晶粒至資料庫: E+ x+ T/ [4 [! z0 A7 B" h
(die-to-database)
的版本。WPI 技術可讓頂尖的邏輯及晶圓廠光罩製造商,在檢測光罩缺陷的過程中,同時評估這些缺陷是否可能印刷到晶圓上。在非重複區域的單晶粒光罩和多晶粒光罩上,WPI 是第一個能實現此功能的技術。5 ?3 D2 z/ b3 ~7 E2 ^

; V) W' d  U& w  dKLA-Tencor 光罩及光掩模檢測部總工程師暨總經理 Zain Saidin 表示WPI 新的 die-to-database 功能可為許多應用帶來助益。由於高階繪圖晶片和高階可編程元件的晶片較大,所以通常都傾向由單晶粒光罩來製造。為了提升效率,晶圓廠經常將多家客戶、不同的晶片放在同一個光罩上。而光罩製造商為了加速開發周期也常把多個版本的晶粒放置在單一光罩上。這樣的作法,導致某些缺陷在每個晶粒的相同位置重複出現。Die-to-die cell-to-cell 的模式在這樣的狀況中無法發揮功用。但是 WPI 卻能提供" o- A; x# {$ U! R% e
die-to-database
的效用,不僅止於具有重複結構的缺陷,且能在所有的光罩上檢測出可印刷缺陷。8 x; l; f) M) R8 e
/ A1 S) w' Q8 J# K: I  c
KLA-Tencor TeraScan 光罩檢測系統具備了無與倫比的影像解析度 WPI 不僅可以計算光如何照射在晶圓上的光阻表面
( Y+ r) v9 U4 q6 I5 t2 o(Aerial-plane image
虛像平面影像)還能計算光阻會如何反映光線
% l' ?- s5 b3 r, b(wafer-plane image
晶圓平面影像)。晶圓平面影像能更精準地預測出,哪些缺陷可能會印刷到晶圓上。
. n8 m. ]* [* N& u* K0 M 2 O( U' X; W! b' Z
在台灣、日本及美國,皆有光罩製造商已在 TeraScan 檢測系統上安裝了 WPI並受惠於此項技術,加快了光罩的開發、生產與檢驗。WPI 新的 die-to-database 功能,是 KLA-Tencor 針對先進光罩圖案量測與檢測而設計的廣泛系列產品之一。
14#
發表於 2009-10-14 11:52:47 | 只看該作者
KLA-Tencor 推出 8900 檢測系統 專為 CMOS 影像感應器應用程式而設計的彈性缺陷檢測系統 % Z& Q5 g' Q) B8 I) s6 r! `. s
- E0 E6 f6 N1 r; o# x
(20091013 16:49:48)【加州 MILPITAS 2009 年 10 月 12日訊】專為半導體与相關行業提供製程控制及良率提升管理解決方案的全球領先供應商 KLA-Tencor 公司(納斯達克股票代碼:KLAC)宣佈推出了 8900 缺陷檢測系統,正式將系列產品之觸角延伸至 CMOS 影像感應器 (CIS) 市場。KLA-Tencor 公司全新的 8900 提供可選取的光波長、與 CIS 像素匹配的色彩,同步明場和暗區的光學設計以檢測各種缺陷類型;具有可調靈敏度及產能設定功能,為從初始產品開發至彩色濾鏡陣列 (CFA) 大規模生產提供可獲致成本效益的缺陷管理。& ~9 m+ @* n: t" O3 L
2 W  ?+ \1 I# K; ~8 [9 w" n
影像感應器是可將光線轉換成電子訊號的裝置,主要用於相機。彩色濾鏡可讓感應器的每個像素對特定色彩(一般而言是指紅色、綠色或藍色 (RGB))做出回應。CFA 是以單一色彩、圖形層建構而成,其中每個感應器像素上都有一個濾鏡。每個像素上都有一個微透鏡。組裝流程的任何步驟皆可能產生良率限制缺陷,8900 可檢測任一濾鏡或微透鏡層的功能,有助於減少材料浪費並縮短生產週期。
15#
發表於 2009-10-14 11:53:00 | 只看該作者
8900 缺陷檢測系統已於近期安裝在彩色濾鏡業領導廠商 - Toppan Printing Company, Ltd. - 的第一個 300mm 先進CFA廠內。“先進的缺陷控制攸關我們是否能可靠地提供先進的彩色濾鏡,8900 已大幅改良了缺陷控制功能並變更了我們的策略。”Toppan Electronics Products Co., Ltd. 熊本廠單OCF部門総経理Keiichi Hara 如此表示,“因為 8900 對於各種缺陷類型相當靈敏,而且可大幅提高產能,所以可在 CFA 流程中執行所有的缺陷檢測。之前,完成此操作需使用多種檢測工具。8900 也可以自動化執行缺陷監控工作(之前需要手動進行檢測),因此有助於縮短生產週期和預測上市時間。”
2 O2 N+ ]0 E" `8 q: Z& @
' U9 Q. x! {2 Z' k& m“影像感應器晶圓廠已經混合使用各種缺陷檢測系統(包含手動檢測),以涵蓋 CFA 流程開發、生產線監控和進廠及出廠之品質控制”,KLA-Tencor SWIFT 部門副總裁暨總經理 Oreste Donzella 說道,“此項策略會導致錯誤發生和效率不彰。例如,手動檢測結果變數極大。即便是使用自動化系統,也可能會遺漏掉關鍵的缺陷偏移問題,因為很難針對不同系統之間的結果進行比較。在開發 8900 時,我們利用了 KLA-Tencor 在明場和暗區微觀及巨觀檢測的豐富經驗,進而為影像感應器晶圓廠提供單一且有彈性的系統,以盡力滿足他們在 CFA 檢測方面的需求。我們相信 8900 可幫助晶圓廠改良資源配置、提升目前產品之良率並縮短其最新影像感應器的上市時間。”4 Q6 L6 j/ ^( p
- ]$ w" Y0 Q( T  {/ u
8900 缺陷檢測系統的主要功能包含:% c: y1 A; Q# ]$ j* ~- B# ]9 ]

1 R0 N; ^7 B2 z1 ~1 [9 i0 V$ B+ S• 同步的明場及暗區光學設計,單一檢測即可檢驗出各種缺陷類型,例如微透鏡變形、阻抗及落面缺陷、色彩沾汙、大型汙點及條痕;" k! R8 w, q% I6 ~; J
• 可選取波長的LED光來源可匹配作CIS 彩色濾鏡檢測;5 h' G6 q( p# B' x
• 符合先進 CIS 發展藍圖要求的靈敏度;
! P9 @6 ^) H7 d/ Q4 s7 Z1 d: h  ~7 x• 生產速度每小時 (300mm 半導體晶圓)能達到 110 片以上;
8 t$ {5 ^7 W; X: _1 Y9 N# m• 自動缺陷分類功能;! H: w; }& F6 E- `
• 自動執行晶圓量測結果通過或未通過;
( Y9 F  [  }0 ~2 ?. @• 自動拍攝高解析照片以驗證缺陷。
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-20 03:14 PM , Processed in 0.161010 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表