Chip123 科技應用創新平台

標題: 請問如何設計BCD counter [打印本頁]

作者: monkeybad    時間: 2007-8-28 10:40 AM
標題: 請問如何設計BCD counter
如題
% z" D0 b5 W+ g  s  K. B* h9 g* N; K* F小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區
  D9 `, e1 f9 _5 a7 U+ u0 O如果不是麻煩版主修改一下囉
  G; q/ F5 N2 Z% ?
! l# o5 T; n8 ^# V/ x0 h. B( x目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一個0-5的記數器開始記數
' K. U3 i; N0 ^$ p) w3 d總之就是能夠記數0-59, 個位數記數0-9, 十位數記數0-5" i7 d4 v0 A! `) o( e
. s4 p9 `4 H& P; K
請問各位有人有設計過這樣的電路嗎? 或是要去哪裡找相關的資料勒?) |; ~! F# t; g
謝謝!
作者: 小朱仔    時間: 2007-8-28 10:52 AM
原帖由 monkeybad 於 2007-8-28 10:40 AM 發表 ) d! D3 |6 q* V2 s3 S) Q" `
如題8 T6 D1 Z4 ^( E! @7 W
小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區- t7 u  @6 Q, `; y4 R" _, T
如果不是麻煩版主修改一下囉
7 p: I. @! d; q3 ?+ t' C0 \& u/ P( z" Z  m! Z+ j  F% L' V
目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一 ...
' ?$ g& }  h2 b1 Y$ _8 J% _# C

2 a9 t: {( G0 ]5 L8 I. t% y大大您是要寫Verilog還是電路" l/ R& T  h' u# G" M0 O
3 h0 R4 x# I7 Y8 y" E
先PO個RTL Code參考看看
% v/ s8 A0 }8 ], X( K5 `9 \# Y+ {* l0 E. m5 E' t- {
輸入:N clear load clk5 p' V& W  J! Q' K* z7 T: t  T
輸出:count! ?% k* K. u" P, W% X

, r- _* z7 `. c: |6 e- H行為描述(依照優先順序)
& U2 I# I9 A! \; `+ N1 U2 L1.如果clear為1,則輸出設為為01 h2 e. |' ^4 j1 e0 ~5 N* i
2.如果load為1,則輸出設為N
" [! a- D' B' n8 f# E; r3.每個時脈輸出遞增一次(BCD)7 e+ E. [" @3 d& L* T! f( E/ H" {
3 a# {2 A" g8 P
( m' r+ ~# v7 y1 x9 [. Y0 X
0 g5 s& s8 F3 N* Q2 z
程式碼:
5 e- f' u+ Z7 QBCDcounter.v$ C" M2 d& U: L$ J

* w9 D; \8 ?6 K3 @. }" _5 Fmodule BCDcounter(clear,load,clk,N,count);9 J  `% |5 z: `5 x" f
input  clear,load,clk;) [: T0 ?; a# C, a
input  [3:0] N;
% Y" q2 }* D$ F0 Koutput [3:0] count;2 x# k: u! y, b& b! Y
reg    [3:0] count=0;
. u& p) G  Z- `" ~1 V+ o# R5 n& e7 a) b0 b3 C( F1 T
always @ (posedge clk) begin
  T" }7 c* |9 k3 Y  if(clear)                 //clear=1
" l. K- N; S. a8 `    count=0;) R! [/ |9 H' q$ w
  else if(!clear) begin     //clear=0(共有0 1 X Z四種狀態). l/ ^, ~8 ?% O+ L/ {" O" Q$ ^
    if(load) begin. h& \% z7 K: w( b/ @) |4 ?3 a
      if(N>9)
1 G0 @4 J; k  H3 a1 R1 `        count=0;
0 g! N/ z# k& ?/ t' ^/ M- }& S) }      else7 d4 K( N2 u" W
        count=N;
! c1 _7 ]9 t1 c# q' ~  q    end( C* H7 x0 c8 Z' ]! y# s
    else if(!load) begin) M" g6 \9 ]/ S- v3 C
      count = count+1;
+ i# {1 _- T: x' j, d8 J; x. l# X      if(count>9)
3 I4 S6 Z. c. e9 X. M& J( O      count=0;
$ E" o8 y- L5 t& c+ O    end
* U" {" b& i; F2 }0 }  end; T& Z" h4 k5 Q0 B) V
end9 }# W7 P( ^) O: z+ X, d
endmodule
+ {( a0 c2 Y9 J4 {% `- y1 e  ]/ O$ S; j& L
bcdcounter_tb.v
  }1 s& e, Z" r4 w+ P' i/ Y/ A
0 T- }4 e& @. R6 E$ y% l0 N3 Lmodule bcdcounter_tb;& c5 J9 U- k' \
reg clear,load,clk;5 t6 c0 J  ^, U4 Z. K
reg [3:0] N;% L7 |$ r8 k6 `7 H7 f
wire [3:0] count;) ~! a- g+ L+ m' Z! g) b; H

  H( x% n# a- b0 yBCDcounter m1(clear,load,clk,N,count);
  e6 n; j0 x! {% n
6 W+ ^" @) J/ yinitial
; W  P! e3 T' Fclk=0;
- K& a5 D; [2 S; v, F1 N/ H* h. w- Y2 d( H3 ^" g
always #2 clk=~clk;/ p0 G! L5 C3 X

" f$ @' }, {! J; O/ x. A3 D1 A& Oinitial
3 b0 T- A9 y3 N. o#160 $finish;+ F+ A! V, U9 T  V: F( }5 J, s( U

0 D3 x9 b( w5 ?5 f. Z. f* {* ~0 |initial begin0 {$ Z: z/ x% v$ }0 h
    clear=1;0 ?% N% f8 a6 v' F6 o/ K
#4  clear=0;1 P3 _: ~5 S, Q+ q
#90 clear=1;8 C, i: N, Q1 ^3 ~' A
#3  clear=0;# y$ k( h3 R' _: W6 {9 A- D
end
/ Q9 E: [+ o+ C( a' U& ^. ]7 i6 u1 B: z
initial begin, @. \5 ]) Q# J) T
     N=6;load=0;
" ~0 z5 a% t1 t+ q# S2 X. y- [- Q#58  load=1;
$ N* ]4 Q0 C+ T9 R& ~8 c9 K#2   load=0;6 U2 P2 n- f( R, u
#22  N=12;
: v8 Z; x0 {/ z) g#40  load=1;' k( y+ S  y  `6 d6 w  q& i( L% I! @
#2   load=0;
; i' n& Z' y$ xend/ |/ W$ O4 c+ F) K
2 F+ q. D. G3 c7 y) [
endmodule
作者: 小朱仔    時間: 2007-8-28 10:56 AM
還有一份講義可以參考看看
0 D% A. ?. N3 d2 ~7 |# f8 o希望對大大有所幫助
: w- y% o2 g  i9 n% p, ]
* S, z. _' I" O. F' U5 x, d+ Y' _
- [1 |+ R/ X* `. A2 g7 S$ {! }- X8 q. q) \; S9 E; f5 j' n

作者: monkeybad    時間: 2007-8-28 11:18 AM
感謝小朱仔的熱心回覆4 @1 I% t4 ^: D# N/ ~
不過我電路要自己畫 還有我不會用Verilog耶
% G+ M, U1 g% P# n; [* ^# v5 a現在主要是要有電路圖 可以用Hspice模擬的那種4 I6 [( ?- d/ D" ^+ W+ i
另外希望inverter越少越好 因為要求要省電! 還有要自己layout!( m$ Y% N, X+ t: I- p
# u- d; M# B' m; l; ~" e
樓上那份文件好像裡面有寫到 趕快研究一下! 感謝分享!
, r; o/ H" Y/ Y/ Z4 |  I0 Q$ c1 q" h
[ 本帖最後由 monkeybad 於 2007-8-28 11:23 AM 編輯 ]
作者: tommywgt    時間: 2007-8-28 04:05 PM
你那個要自己動手畫CMOS電路吧... 9 b, X- T  D5 N: h2 i" Y
寫code習慣了, 真的很不想動手再做這樣的事, 不過推完booling equation後, 直接用Cmos畫出來不就好了???  P" X8 P' K$ s, z1 W: F
沒錯吧
作者: monkeybad    時間: 2007-8-30 02:21 PM
恩恩 不過booling eq好像是在推導一些輸入輸出的條件% h, v9 n% Y3 a$ ~9 I" f1 g
7 j. n6 C) x- d0 Y
記數器參考一些資料後 找到一個用ripple counter下去修改的
$ P- U" R' d5 Kripple counter就是用負緣觸發的T型正反器串接在一起
! `8 R5 q7 v9 ~/ ^+ T5 w接著開始從0數到9 然後數到10的時候" P* X, F" J4 X$ ~& i
就reset重新從0在開始數
作者: tommywgt    時間: 2007-9-3 03:08 PM
ripper counter真不是個好主意, 不過要看應用, 在有些地方用時倒是好東東...
作者: jordanplus    時間: 2007-10-23 11:19 AM
我很好奇某大大說的講義+ W& a3 d4 f6 [! ?
給我看看寫什麼吧
作者: nic740917    時間: 2007-10-23 08:26 PM
我這裡有時鐘的電路圖..以送去CIC下線..可提供給大大參考看看!
作者: mungoo    時間: 2007-10-30 01:52 AM
我看一看那個問題,要我聯想到CODE,一時還想不起來,真是太久沒有寫程式哩!6 q( B2 n4 f+ g
看到CODE,有一點恍然大悟的感覺,又接著看,害我也想看那份相關資料囉!!
作者: liboy1122    時間: 2007-11-9 02:57 PM
BCD conuter 應該是對自己有所幫助
作者: stevenert    時間: 2007-11-14 11:29 PM
bcd counter對初學者的我而言真的好難! i& e; R5 o% }
謝謝小朱仔提供的code囉
作者: tommywgt    時間: 2007-11-17 04:58 PM
大大別忘了給小朱仔 "感謝"
1 [' @" [- h& Y  P3 @- h* H( I4 w3 U8 k, z$ w4 ^. ]5 b( _" `
按評分, 就可以給感謝了....
作者: kevin    時間: 2008-2-22 05:34 PM
Let see the shared material. THX.
作者: josephgg    時間: 2008-4-24 07:28 AM
幕讲义之名而来,呵呵勿见怪啦.                                              * Y. b! A6 y, B
感谢
作者: ycs1977    時間: 2008-5-21 10:42 AM
標題: 回復 3# 的帖子
感謝各位大大的資料 對初學者 真是受益良多
作者: mengchung68    時間: 2008-6-2 11:53 AM
感謝大大的分享∼∼∼∼
/ q' d9 t( t( n1 k/ x因為你的無私,讓大家能學到許多知識
作者: gto10620    時間: 2008-6-2 10:10 PM
我也想看看大大分享的講義~~
3 e' M3 X1 L) U: Y4 t9 Z& P回覆來看看囉!!感謝蛤!!
: p0 {+ K5 f0 a0 Z& s因為我是初學而已!!
作者: gto10620    時間: 2008-6-8 08:55 PM
你是要畫電路圖的方式設計~
7 W, e; j& q; r9 s; X1 s那畫畫卡諾圖不就好了.... 只是比寫CODE還要麻煩....
9 W- `6 t, h- X- W/ X因為要接邏輯閘!!
9 m6 z1 h  @) c0 ~% i0 s用卡諾圖化簡!!
作者: rick80418    時間: 2008-7-25 02:41 PM
最近剛接觸這方面的東西
, q+ q6 ?  N% A" f7 C2 Z: S: ]7 o, R" w- G, E/ {+ M* |
剛好需要這些資料
: @" J( I0 m1 @+ o/ M% h+ r
! ~* [8 ^7 \/ G* ?感謝分享!!!
作者: steall74220    時間: 2008-10-20 03:41 PM
3Q目前亟需這些參考檔案 非常感謝
作者: bigapple62    時間: 2008-10-20 06:37 PM
標題: 回復 3# 的帖子
是Verilog or VHDL?
% a! M$ H& ~* ]* K我也來看看講義,並學習新知識。/ Y, v6 p) m! G/ q
謝謝大大的分享。
作者: oldya811105    時間: 2008-10-20 08:27 PM
講義內容對我這初學者來說因該很有用吧,( z. Y4 |, }4 p- C: _
感謝小朱的分享!!
作者: dy1972    時間: 2008-11-5 12:23 PM
剛開始接觸FPGA,很需要這樣的資料,感謝分享。
作者: ahug    時間: 2008-11-10 11:23 PM
感謝大大的分享∼∼∼∼, A5 E. m- A' T8 r" p7 |% G3 H  Z2 h9 l
8 z! Q7 G+ V! p
我是初學者' s! f( x8 N: X' G

% P0 S* f7 U  m$ O剛好需要這些資料
3 P; x; d5 K1 n6 \; X% s
# c( [- ?* P( c感謝分享!!!
作者: superpigin    時間: 2008-12-10 08:10 PM
感謝啦!4 p* |, ^# r: G2 [; i
現在正再跟計數器奮戰中
3 _, v9 d0 U( j5 l; `有這個剛好可以用3 u4 I* |9 A1 m
希望有幫助
作者: pleojack    時間: 2008-12-15 07:49 AM
不知道用cubical representation 會不會有幫助?
作者: shanks    時間: 2009-4-5 10:53 AM
最近也在寫counter
2 q0 z) Y* h& h1 N) b遇到了一些問題; N0 W9 ?- A: [8 K5 e* b4 |1 p
想看一下小朱仔大大提共的資料) \  w7 ^/ ^& ~$ q
感謝分享~
作者: spring69393    時間: 2009-4-5 09:08 PM
計數器在fpga裡面算是最常用到的電路之一了,滿好奇是什麼樣的講義的 ^^
作者: abore2009    時間: 2009-6-19 10:05 PM
BCD Counter的確是有意思的電路  t; l/ r( u2 a
用途也蠻多的!
4 K) V  R# R( r5 Y5 v& i: e除頻或是計時電路都很好用!
4 r' s5 [7 O, q( b' p6 {異步式或同步式皆有人使用!
% F1 Q- F' y0 x. i: w6 @% }9 g唯設計的難易差很多!& Q: g+ c0 m+ x8 J( J1 C% E
VHDL或Verilog較能一步到位!
作者: milksoda    時間: 2009-10-20 10:35 AM
標題: 初學者
多看多聽多學多認$ h5 {3 g: _0 @# U. M
才能多長見聞呀))))))
" \" L/ y% j0 V# J6 G# e話說....我用畫電路圖的還被唸= =....
" \; f2 ?8 I- I5 B* x, a# P, @要學著用程式嚕...
作者: conch_grass    時間: 2009-10-28 10:39 AM
刚注册的,进来学学,希望多多指教:)
9 v# R7 O1 e3 T6 N$ d
& ]& X2 a1 w$ w9 [感谢无私的分享!!!
作者: kokonut    時間: 2010-1-24 02:33 PM
我也想看看講義寫了些啥~; |7 T) s" k/ B

3 u1 N2 F) {5 m) X感謝分享~
作者: nicnac    時間: 2010-1-31 11:36 PM
B C D 編碼器雖然後容易,但是是進入FPGA的一個小小程式
4 F) t/ e4 E; K: R9 J& K: P2 |還滿有趣的
作者: bbgangan    時間: 2010-2-7 02:35 PM
回復 1# monkeybad
/ N! ?+ }3 l# _
4 ^$ n. z& L  F感謝小朱仔提供的code!!
作者: ophen2    時間: 2010-3-18 10:46 AM
我也想看講議....6 o8 {( Y9 o$ N- ^% f2 C3 Q
準備步入職場的初學者~"~
作者: iceblock316    時間: 2010-7-2 08:18 PM
正在學習fpga感謝大大分享
作者: bbbaabbb    時間: 2010-7-21 12:06 PM
最近在寫控制Memory: K. E5 b# b0 F/ {
也在想怎麼寫個counter來計算已經存取的個數
  B4 M/ @7 \6 d/ Q- v6 G以便進入下個狀態: b! d+ K: \% o( r; a; H
謝謝2樓分享
作者: peter7612    時間: 2010-8-18 04:32 PM
感謝大大的分享
) Y" S! {! V- r0 e, I剛好要需要用到這份資料
作者: scott5577    時間: 2011-3-7 11:03 PM
剛接觸這方面不久~還是個小新手8 t3 ]$ [1 F  ^  v0 K
還有很多不了解的地方
' j- [% `5 X/ H# M這些資料幫助不小~
' Z9 w0 Y. U/ H$ K4 B感謝分享~
作者: a0972293419    時間: 2012-5-23 06:01 PM
希望講義有用+ a% J6 i, E, o- q1 z/ S
感謝大大分享
作者: ricel00777    時間: 2012-6-18 06:27 PM
我也來寫一個
3 @9 c; H3 Z% c! y  g輸入:clk, rst, Y/ t. _' I; R) |; k1 Q
輸出:tens(十位數), units(個位數)
: c+ v5 m4 v/ I" m. S; N
9 u. h3 t! G) w8 N2 \code : $ m1 R& r! j8 ^" ^
2 F8 @! L' F6 B: A1 Y
module BCD(clk, rst, tens, units);6 ]: ^, e" U5 e3 G: m8 p& W& g
  input clk, rst;1 k$ L7 X& S9 d4 @* j! }
  output [2:0] tens;
- k; d& O7 g# D5 U4 F/ R  output [3:0] units;7 l$ z# {& L: s. c* K. s( B0 D" L
  reg [2:0] tens, xtens;- O. M  l% K( f7 K$ W) @& j
  reg [3:0] units, xunits;' h/ F3 w+ b  c
# s" G) O8 L& w
  always@(posedge clk or posedge rst)
( ~- Q6 [0 j2 E* ]$ c  begin
5 P8 u3 G+ b6 F9 d5 W1 P    if(rst)
- l; T) b1 u6 v5 h+ h    begin
6 m0 S) i6 x: d! ?$ M5 K      tens <= 3'b0;8 w+ |! r5 z) |! `- e. A: f
      units <= 4'b0;: N1 h3 @6 d  p; q/ q8 X
    end
# P( f" ?) X0 P    else6 o2 @: ]' F( u, o; F
    begin
; Y. I$ I7 `6 i5 A( ^7 m      tens <= xtens;
' p) J6 D9 {" g  R9 H; X6 X      units <= xunits;
: _; M) U5 x6 q# X- n    end
& W9 B8 T8 |2 L/ @) S' _$ V2 N  ]& \  end  n6 o' Q1 {' i3 A0 M+ R  G. Q0 v
6 a/ i& e& H3 Z4 G& N: D- G* h9 Z
  always@(tens or units)
9 v, ]' N  g' @" o2 _5 S4 D  begin
; l/ n' s- o' R# Z    if(units == 4'd9)
7 C3 Y9 B* d) L5 l    begin0 y: o# m9 h; b& }$ [
      xunits = 4'b0;
0 ]3 {( ?1 ?# t! X      xtens = tens + 1;
6 W1 K: U' v1 {      if(tens > 3'd5)! {: e3 Z; p, y4 b8 h0 {
        xtens = 3'b0;
5 h0 v4 o& d6 p  @  C/ ?      else
: Y' c. q( B$ [3 q4 `1 z! M        xtens = tens;2 X' Z, ^" [3 N
    end
6 R& A" Y% y9 k; J8 C    else
7 W: O3 |  j& @    begin4 M  M0 d% ^4 S0 q3 K9 X, F! p
      xunits = units + 1;
2 S: r- N/ H. T3 a      xtens = tens;  x  O8 S2 z" D
    end$ i, G' w2 Q( E. |
  end; E8 x+ @  O8 y) E6 I( g  N
endmodule
作者: seack    時間: 2013-3-13 11:34 AM
正好需要這部分的資料 希望能有幫助
# m! q, z! D4 k( H5 ]- D( l$ h, ?感謝分享!
作者: mrj0702    時間: 2013-3-24 05:51 PM
回復 3# 小朱仔
. M$ _! X0 g! c: [0 i9 D; Z$ n
  f2 Z3 Y; c( M' {# [9 k; b
4 [8 c9 T5 o* x  g   感謝您的分享,讓小弟多一份資料可以研讀。
作者: swordshine    時間: 2013-9-11 02:52 PM
不會verilog的話
% }: y2 z. p+ d+ U, s9 Y可以上網找有沒有verilog code參考
9 n7 T0 u, J- Y( M/ K+ w; D3 d然後合成電路後再參考tool畫的電路來畫
作者: uerfr    時間: 2014-9-4 09:25 PM
加油啊!!!!顶哦!!!!!
+ I5 P$ \* @5 y+ j. h  o5 T' l& m9 S* J# q7 z& A3 ~0 }
1 u7 M, J6 [9 e5 y7 R" J+ X1 u

) O( u6 ~( y/ w1 ]1 n! ]7 I: G9 z% |' r8 i+ _1 S, G4 v* ^5 u
+ ]8 G7 w( u+ L' e" o
* g% p) |+ i$ B( g$ H# }  Y
* b& a& C* I: ^- O6 [: ~
4 |- I0 R* j" F4 Y; m: F

' [; k1 Q& R0 s7 r" }& K
  Q6 l" @. t# ^( C
0 \4 x3 Z, ]: Q# `bjcars.net0 O4 J. i- }7 R9 e; U# a

2 f2 l# r& _; p3 [2 O* b$ L3 C
5 P" z' g# U" Y1 |) g2 l. b, U8 Y
. _% D- h8 X' g! A* y% B1 \, R* s* n" d, t2 m1 M5 p
0 z1 z; N' s( e5 ~9 i% I1 ]
# T! s0 t* n- Y3 ^" }5 [
/ Z5 o0 |4 i$ S4 `" \

4 w( V) \! c3 T8 E6 x9 @
, W$ i8 v% g8 x  C0 Y
+ \+ Z9 d; x" @% t
( H( U& g* l" E  w2 }, P4 R
3 H; ]  ]. ]0 `9 J6 Q9 u. ^, {/ k1 x北京搬家公司电话
作者: KiaMinLiao@G    時間: 2015-1-11 05:43 PM
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 G3 E. z& _6 }5 P& z

作者: jlian168    時間: 2015-3-14 08:20 PM
菜鳥一個,參考先進们的看看先...
作者: syuan08    時間: 2015-7-1 05:18 PM
需要這些資料
0 X: T: q9 v5 j* ~$ [6 x
0 \; ]" K3 G( }1 A感謝分享!!!
作者: 傅冠智@FB    時間: 2015-9-7 01:16 PM
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩
. N2 K! p" W/ C, ?4 i5 ~
作者: AIC6632    時間: 2015-11-20 06:30 PM
畫電路比較麻煩吧
% i/ c# J: U8 C8 t+ B* `這應該邏輯閘超多
作者: Ali-pig    時間: 2016-9-9 07:50 AM
剛好需要這方面資料
; ~8 s6 M) H& M# H謝謝大分享的講義! R; J% u( V$ n8 O7 k4 \4 O" T
回覆來看看囉!!3 S, \3 D! z7 _4 K- S
感謝  z7 x0 S0 ?9 H2 @8 U





歡迎光臨 Chip123 科技應用創新平台 (http://www.chip123.com/) Powered by Discuz! X3.2