Chip123 科技應用創新平台

標題: 電路轉程式 [打印本頁]

作者: mclaren_18    時間: 2008-7-28 08:26 PM
標題: 電路轉程式
請教各位大大:9 l' P! I3 O7 C9 `9 g
如果只有schematic電路圖 可以轉為verilog 或 vhdl 的程式嗎??
1 B9 S" |2 A7 k% p) T# x" ?: k8 [要用什麼tool轉?9 H; v4 n( X! j' j* |, h

) r; X* d* o% ^+ |! M8 c謝謝
作者: tommywgt    時間: 2008-7-29 12:29 PM
如果是Protel或OrCAD畫的可以去symble的底層補上那個library的Verlog/VHDL code再轉就可以直接轉
4 V$ N. E0 r7 f0 w2 ~( M
# V; x+ w7 \2 h/ x0 t. P! S如果是在ISE/Quartus畫的, 好像也不需轉吧0 [. ]" x! [% V7 i: Q5 k/ d2 o

7 L; H6 X/ _( |如果是我的話, 就直接重寫, 有線路圖要寫code應該不難才對. 當然如果你的線路圖是那種有很多ASIC/ASSP IC的另當別論
作者: sieg70    時間: 2008-8-4 07:52 AM
標題: 回復 2# 的帖子
ISE跟Quartus II的schematic檔都可以轉出Verilog/VHDL code, 只是轉出來的code只能跑FPGA flow, 所以若沒要搭配3rd party的synthesizer或simulator的話, 直接拿schematic與 native tools(即ISE/Quartus II)就可以了, 就沒必要轉了
8 ?& ?6 h- L& h; k3 b/ w. Z3 F  q6 o8 F
不過既問在這個版面, 應該是問FPGA flow的居多吧!& E" U2 d: w, @! J% U" s( \
' N: y! d' H0 h$ P
轉的方法:
& _" M4 U7 v5 u! e  ~# x" |, vQuartus II把畫好的bdf檔save好後, 直接File>Create/Upatde/Create HDL for current file
5 P2 j0 o( F3 D1 B4 U$ a: X% bISE把畫好的.sch檔save好後, 進行synthesize-XST, 即自動會產生 .vf 檔, 就是轉出的東西
2 j9 Z. l1 l* g9 u! `2 c+ A, S+ m. z" e$ ~5 G
[ 本帖最後由 sieg70 於 2008-8-4 07:53 AM 編輯 ]
作者: mclaren_18    時間: 2008-8-4 07:09 PM
標題: 回復 3# 的帖子
sieg70大大
8 j3 s' W% `' d請教一下..... 你說的 ""ISE把畫好的.sch檔save好後""  指的是ISE 所產生的嗎? 還是利用ISE 自己手動畫的
2 c9 X7 b0 p9 q6 p) g; w, v因為我在ISE的檔案中 找不到 .sch的 檔案, I  \% Z9 q$ ~0 z
我只有找到 .ngd 或 .ngr 的檔案...................
% @3 L- q7 {6 I2 D' i謝謝




歡迎光臨 Chip123 科技應用創新平台 (http://www.chip123.com/) Powered by Discuz! X3.2