Chip123 科技應用創新平台

標題: Cortex-M0+ IP Core [打印本頁]

作者: sinoicboy    時間: 2014-3-7 11:22 AM
標題: Cortex-M0+ IP Core
本帖最後由 sinoicboy 於 2014-3-7 11:24 AM 編輯 ! }; k' _5 o$ Q5 r
7 Y  l, m; `4 m1 s
[attach]19654[/attach][attach]19653[/attach][attach]19655[/attach][attach]19656[/attach]& B. v/ r5 w, }  W6 h% A: m0 S8 w+ L

8 f4 I; K2 }5 M+ ziconstart@gmail.com5 N; z# M  y( o8 w" g

! S* B! s. |+ O! [0 d                                  AR085-DA-70000-r0p0-03rel0/
5 q7 J4 L& S5 |                                  AR085-DA-70000-r0p0-03rel0/doc/
) ]* P# r5 p/ L' `! `9 [+ t# V. v                                  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/* y$ H3 m6 G" ^1 s& ~2 H; q. K( P
4af79e0557cc9c6863c2eab0f890aaad  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/DDI0419C_arm_architecture_v6m_reference_manual.pdf
! N5 q8 w5 e* ]6 _! w- `. L                                  AR085-DC-11001-r0p0-04rel0/
3 A% }! A; y% A/ X, }                                  AR085-DC-11001-r0p0-04rel0/doc/
6 I- e& U" A# p1 U' ~                                  AR085-DC-11001-r0p0-04rel0/doc/errata/
0 N+ }: {) ]$ M7 v$ y: I' N57ce61d69a56fae9dff02a664dc0930a  AR085-DC-11001-r0p0-04rel0/doc/errata/ARMv6-M_Architecture_Errata_List.pdf
5 R. _0 d: l+ Z# ^4 P; b  f6 P, X                                  AT590-BU-11001-r0p1-00rel0/
' v. M  t/ ]- m' G1 O4 i+ Tb2bb2db05b71fa9e2ecd4c2841c22f3f  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Product_Errata_Notice_v4.pdf4 n! X8 D& ^# ]+ W6 s/ j- R0 L) O) L$ F2 J
d305236940b52b92b81e8579435efe7e  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Software_Developers_Errata_Notice_v4.pdf
7 K$ o" N; W3 S% ^2d80706f7a099ac4a9674908c50d730e  AT590-BU-11001-r0p1-00rel0/AT590-BU-11001-r0p1-00rel0.lst
, k1 {4 I" Y. s6 U" b                                  AT590-BU-50000-r0p1-00rel0/
9 p- ~8 Q: n' [! ]9 d% M; F, t0 ]70404309a7929774e3bd8786e10771eb  AT590-BU-50000-r0p1-00rel0/ARM_Cortex-M0+_r0p1-30rel0_ReleaseNote.pdf
1 h: H: o) p: k, e" T, I                                  AT590-BU-50000-r0p1-00rel0/logical/: x6 l' k. k, U* C  r
                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/3 y+ h+ R& j- A6 g1 L6 i1 J9 D; u
                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/
5 i- ^7 c4 o) l/ n  `80fe9cfaeb5e260f70aebfcac0bdf888  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_cdc.v  s- P. J( j* l
063cdb1c994aca1f31971a3d52da426d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw_defs.v! l8 N. r" U  d* i, K* ]
17f0e782572ea85e916a26ef804106ac  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag_defs.v
! G* e: H! M- _4 pdb6b0ced453f9ba83035ca0b2d31c55d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast.v
$ E+ N" w/ i) V9 h) S3 H12e85d89d377ef33f7a41f5f49bf20f0  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag.v
7 V* v# H! P( ~  \+ W; Cbb3812a6b967f1ffaa604a858064f1d6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_top.v% [9 X$ k3 w' s/ u1 U; ]
2b71a63c5d6843c6a0c64972ca0a3483  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp.v
+ c; U  s& k8 Y( @' @fc6a96af9879ce4ccb0c150913d38ab5  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_cdc.v
8 s. G! g6 R) }% j1 U% C72017024f98163d43c2f77e920560bd3  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap.v- y( n0 ]- Y) u  v0 }
6ca54cbd04210e471b30aaca343e1966  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast_defs.v. T5 T$ r1 j# ^9 c, P
764fcf3fa6ce572a3325f069a591baf6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_pwr.v
: d- L$ H: a( `3ffb32cddb6a7e19f9f91e437f7ca59b  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/CM0PDAP.v' \  \9 Y% v% e3 e! I" H
75d1d91ab79ae9e0e044ed1ef71cf03e  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw.v, C  S" M9 M7 @' U/ j/ E
                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/
* b- q% {. g- z. M. ^                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/
% v: ]6 D9 v% M9 P95d54f552eade07ede322600da1f95c3  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_bpu.v0 H! g9 y. m2 ?. w* u
302c07875f4fc2cfe52c087ca6429cc0  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix_sel.v
! u3 {; |8 N4 q/ L  z) ?fce226f9ab48e92ff074fcad3dec6728  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_sel.v
' G3 P( R/ f6 G3 Nc00549e61aae47696609028230712dd6  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_ctl.v  a% X; i  N7 k
ed481eab3481c71d4e2dc94531b88a3d  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_nvic.v
% {  R, Z/ t; i, A$ x, x57a19fb958028b39c425e6ac68f882aa  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_sys.v) B6 G. \; H, r
66bc815f02ad900042cec326a6679963  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_dwt.v) z* I# ~) y: e% M6 f+ Y
62d60f5f2bceb3ce3f82e8fcb48446ff  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_mpu.v% G( e6 {' V5 _& s
4b054567197e5f54d3bacf492271f4c9  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix.v( J4 V7 j1 I6 |: z
a436b897620bcd65a4f6f7d50345215e  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_clk.v
作者: 114142500    時間: 2015-3-11 02:42 PM
thanks! do you have source codes for M0? It‘s very great for sharing the code。my e-mail is 114142500@qq.com,thank you very much!




歡迎光臨 Chip123 科技應用創新平台 (http://www.chip123.com/) Powered by Discuz! X3.2