Chip123 科技應用創新平台

標題: verilog 寫有限狀態機的問題 [打印本頁]

作者: michael6172    時間: 2010-4-28 04:49 PM
標題: verilog 寫有限狀態機的問題
各位大大2 B6 z* d* A2 a+ R
8 S9 h5 c- O+ q- B# Q
我使用的是ISE 11.1版,在寫有限狀態機的時候,沒有把所有的狀態寫完,, J# C- k0 Y: N" o# u
& Z! s* I8 r7 g2 T
例如,用4bit共16個狀態,我只用到10個狀態,所以就寫10個狀態,
/ d; L* L6 t* s; A; k/ g! _/ _( S& ?* y
最後有加上default,這樣的方式寫RTL simulation 的結果是正確的,: I& P3 r( b4 t+ c* g: B$ j
5 H+ `8 l$ n9 p! c
但是,pose-route simulation 的結果就會有錯誤。
. N, W; t  f0 W& Q- E, ~/ p
" }  I% o4 w+ f  z, W  p* T不曉得有沒有大大遇到相同的問題,那都是怎麼解決的?
5 _+ B+ v8 c5 n3 E. F) J% A8 v' l
. D0 P7 f. q" g! _& v6 G麻煩各位大大分享一下# s) o) k7 @# g) T6 o

/ J  n7 A9 n+ p& V0 f謝謝
作者: russell    時間: 2010-5-5 08:45 PM
哈囉! 我發訊息給你囉!   X% o! {' j! V1 l2 J; u# u- g

' V5 W& z) a/ U& i: @需要更多的info. 來幫您解決喔!
, G( e1 Y, e+ M* d  G$ c1 L5 m9 X( A% d5 G& `* i6 z9 i" i
russell0916@gmail.com
作者: ishoni    時間: 2010-5-10 09:34 PM
有沒有加上這句呢?0 p" F" P* |- P

! t4 R' A/ Z& d" D& M) K1 L) L' \"synopsys full_case parallel_case"# }9 z6 I1 }( x. d) [

( B& T, M* @: d3 M幫助描述你的case status...
5 x+ {* I' Z% K. A& w
1 d$ S* ~" V1 ^  |5 ]1 w有一篇文章在討論這個:
. [# b; Y/ b  U+ X: l& X
  p1 {, J) X, c# b8 U- tkunalvyas.com/Documents/fullcase%20and%20parallel%20case.pdf
作者: johndoedie    時間: 2010-5-30 10:13 PM
回復 1# michael6172 ) p( I- y7 M, F0 Q; _% _
/ Q3 d6 [7 `3 u$ K* r- D. E. K
會這樣通常是RTL model的方式不正確導致合成後產生latch,但沒看到code&你也沒詳細描述是怎樣的錯誤,很難找出問題
3 }: |& a) \8 q" ^2 p5 \  b/ Q5 E1 {$ p* @4 I
另外model FSM時,個人習慣分成3個always block:, U+ o$ G) S' z1 q" d  i* y. d, R

$ `5 l3 d* z. Y" n- z& b2 T- N   1. sequential logic part for state assign
. L0 t8 @. @: G! m   2. combinational logic part for state transition
: y( j. x$ d# }. e3 W$ w$ ^3 `   3. combinational logic part for control signals& J& r& z  Q1 r2 Q- ]7 l8 g: v

$ f7 Q( ~- c3 j  P這樣做的好處是可以讓合成器確切的合出FSM,另外閱讀時也可以很清楚的掌握狀態的改變和每個狀態所要做的事,debug的時候比較方便,希望對你有幫助,FYI。
作者: thjan65    時間: 2011-12-29 05:53 PM
樓上建議都是對的.
% {6 F2 u3 L" E7 W. w+ ~但是等project 大了, 或是時間趕, 根本懶得 把 sequential & combinational 分開寫. 9 t( {4 N% f& s8 w1 I; J; L0 w
: h, P2 R% y( s! z
總言之, 沒看到code, 無法幫您找問題.
作者: superyoure    時間: 2012-2-14 04:01 PM
還是要把code貼出來大家才可以幫你抓問題/ R5 z) f/ I' w; e
不然這樣的描述太籠統了




歡迎光臨 Chip123 科技應用創新平台 (http://www.chip123.com/) Powered by Discuz! X3.2