Chip123 科技應用創新平台

標題: Lattice的CPLD特性 [打印本頁]

作者: jericho1121    時間: 2009-12-2 09:37 AM
標題: Lattice的CPLD特性
之前有用過altera的CPLD,不過altera的CPLD並沒有辦法在軟體裡設定某根pin power on後在code執行前的位準,那想請問在板上有用過Lattice  CPLD的前輩,Lattice  CPLD有沒有辦法做這樣子的一個設定 ?
作者: atitizz    時間: 2010-11-8 04:48 PM
萊迪思MachXO2 PLD系列為低成本、低功耗設計樹立了新的標準
; F1 H) b' g0 D0 r! m3 z) S. O成本減少高達30%  功耗降低超過100倍 為低密度PLD設計人員創造更多優勢
: j3 [1 N, }3 L! [& U; M6 _# E% t9 @9 \9 N( ^
【台北訊,2010年11月8日】 萊迪思半導體公司(NASDAQ: LSCC)今天宣佈推出新的MachXO2™ PLD系列,為低密度PLD的設計人員提供在單個器件中前所未有的低成本、低功耗、與高系統集成。嵌入式快閃記憶體技術採用低功耗65奈米製程,與MachXO™ PLD系列相比,MachXO2系列提供了3倍的邏輯密度、10倍的嵌入式記憶體、降低100倍以上的靜態功耗並減少高達30%的成本。此外,在低密度可程式設計器件應用中的一些常用功能,如用戶快閃記憶體(UFM)、I2C、SPI和計時器/計數器已預製到MachXO2器件中,為設計人員提供了一個適用於大量及高成本敏感度設計的「全方位PLD」。 ! @/ [* p& G# ]. y

$ m# G! Y* x0 Q* B2 s萊迪思半導體低密度及混合信號解決方案行銷總監Gordon Hands表示,「透過65奈米嵌入式快閃記憶體技術的使用,萊迪思為電腦、工業和電信基礎設施市場的用戶降低成本,並增加功能,同時為消費者產品的設計人員大大降低了功耗。許多早期用戶已經在評估MachXO2元件,並在廣泛的應用中利用MachXO2元件進行設計。」
作者: atitizz    時間: 2010-11-8 04:49 PM
三款器件選擇提供最大的設計彈性9 }! `3 [! H* V: U3 y. J$ L/ W
; |6 T0 A' o& m1 ?6 _
MachXO2系列提供三種最佳的彈性選擇。MachXO2 ZE器件擁有256到7K查找表(LUT),工作電源電壓標稱值為1.2V,並支援高達60MHz的系統性能。可提供低至19uW的功耗和小至2.5mmx2.5mm封裝。MachXO2 ZE元件專為成本敏感、低功耗的消費性應用設計提供效益,如智慧型手機、GPS和PDA等。 - {( J5 a* p+ Z

( `* i- t9 j, l3 M1 \. K1 G' N2 {MachXO2 HC元件擁有256到7K查找表(LUT),工作電源電壓標稱值為3.3V或2.5V,並支援高達150MHz的系統性能。提供多達335個用戶I/O和強大的設計解決方案(上電即用、非易失性、輸入遲滯和單晶片)。這些器件是控制型可程式設計邏輯元件應用在終端市場的理想選擇,如電信基礎設施、電腦、工業及醫療設備等。
+ i  v" y9 u$ P% h' _7 u2 Q2 ]/ P- R9 k/ P
MachXO2 HE元件擁有2K到7K查找表(LUT),工作電源電壓標稱值為1.2V,並支援高達150MHz的系統性能。這些元件專為功耗敏感的系統應用提供最大效益。
  Z* i3 ~1 X% B7 `% b3 ]
9 Z1 h5 q2 N( i8 A7 _/ Z早期客戶反應
2 \4 o0 ^3 @% l, R6 r& S/ i3 `' `! }/ l  m  H: o
「在過去的兩年中,我們已經在CCTV影音光纖多工器中使用了MachXO PLD,因為他們提供令人讚賞的系統集成優勢,並將彈性及符合成本效益的架構整合在一起」,Obtelecom工程副總裁Zhu Guangxin先生表示。 「採用MachXO2 PLD,可使我們以更低的價格,透過下一代產品為客戶提供更多的功能」。”        i, D4 l/ \$ U, ^+ X5 u- ]

9 P6 k7 X9 D: U, dKND CNC Technique研發經理An Luping先生表示, 「我們一直在用MachXO2元件進行設計,並將它們用於我們的CNC(電腦數控)產品中。MachXO2系列獨特的系統集成優點,例如預製的I2C / SPI功能和用戶快閃記憶體、低功耗和極具吸引力的價格結合,讓我們得以利用這些元件作為代替ASIC和ASSP等高風險和昂貴的分立器件的選擇。」
作者: atitizz    時間: 2010-11-8 04:49 PM
免費設計工具及免費參考設計加速開發時間 ! m. b4 h- q1 M

: r' e# o& q2 w( `( W/ _使用者可以開始利用Lattice Diamond™ v1.1軟體設計MachXO2器件,軟體可從萊迪思網站上免費下載 http://www.latticesemi.com/latticediamond/downloads/
: f( X* ]1 u7 L5 M 8 R! N+ m, l  C6 u0 x% r9 r1 }$ U3 I
現有ispLEVER ®軟體的使用者可以選擇使用免費的ispLEVER v8.1 SP1 Starter軟體,並安裝控制包,軟體可從萊迪思的網站下載 http://www.latticesemi.com/starter
4 ?# P4 M% I# y& I, K3 y  a ( L7 V2 W& T- X9 B
為了能夠快速且高效地進行設計,並在系統和消費者應用中使用常用的功能,萊迪思網站提供多達20多個使用MachXO2元件的參考設計可免費下載 http://www.latticesemi.com/referencedesigns6 c' |( {. x5 X- p- i$ z

8 _7 L. P8 }- z5 i此外,萊迪思計畫提供兩種開發套件,以加速對MachXO2器件的評估。詳情請見 http://www.latticesemi.com/machxo2devkits . a, n0 f: N+ K* {
, ~4 V- P5 L; a) N2 ~8 c" n& ]
定價和供貨情況( A' U: ?3 N* h& C8 N
目前已提供MachXO2 LCMXO2 - 1200ZE和LCMXO2 - 1200HC元件的Alpha樣品,工程樣品預計將於2010年12月開始提供,並於2011年3月開始生產。TQFP100封裝的LCMXO2-256ZE/HC與TQFP100封裝的LCMXO2-1200ZE/HC,每50萬顆單位的定價分別為0.75美元與2.00美元。MachXO2系列的所有元件預計將於2011年第三季末出貨。
作者: tuby0321    時間: 2010-11-18 06:17 PM
Lattice  CPLD就我使用所知   似乎沒有你這要求的設定( {4 b2 f+ p% c5 B! p, k

: {0 \$ w) f$ C! @5 A! O# x另外
7 @+ g) r! Q7 a/ F) z
  t$ }" G: C! w) _; q6 @0 i3 CCode執行之前的各腳位"狀態"或內部parameter"數值"通常會利用Reset pin來指定其值# S* u# q: i- ^+ r+ h& m6 f' R
待Reset pin轉態後   便執行程式
6 A- }8 h2 _5 z1 ~0 X; W
" E/ [& q# ]' ?1 V9 s+ Q4 F但若你所說的是  // power on 之後   //   chip還未依clock 執行 之前 // 的chip腳位位準的指定(設定)  O( p( A9 @0 S8 s5 Y# R" x
那問題就複雜了7 z  U. H3 B3 P2 \7 v1 }3 S. P

  E4 [. g- n# _# |' k不知你可否詳述     """"某根pin power on後在code執行前的位準""""的詳細step
作者: globe0968    時間: 2012-4-26 05:45 PM
萊迪思發佈MachXO2可程式設計邏輯器件的新的32 QFN封裝1 r0 w$ n) R/ C1 z8 L; U
新的封裝是在空間受限的環境下需要使用低成本、低功耗PLD的各種應用的理想選擇 $ n1 v& b' K  ]) ?& |. K8 x
* s% p4 k" q/ N" N6 g3 \0 ]( k' h3 D
【台北訊,2012年4月26日】萊迪思半導體公司(NASDAQ: LSCC)今日宣佈推出低成本、低功耗的MachXO2™系列可程式設計邏輯器件(PLD)的新32 QFN (四方形扁平無引腳)封裝。自2011年MachXO2系列量產起,世界各地的客戶已經廣泛採用此款結合易於使用、靈活性、系統集成和價格等多方面創新優勢的器件。新推出的5mm x 5mm小尺寸封裝,擴展了MachXO2 PLD的使用,適用於對於空間限制、易於佈局和製造有嚴格要求的應用。 3 w. d3 R5 p5 l* x

/ x4 n1 j1 }7 ~  I3 V' y% Y2 _法國Sophia Antipolis科技園Arago Systems公司,已經將新的32 QFN封裝MachXO2器件應用於其產品設計。Arago Systems的首席技術長官,ArnaultFontebride表示:「Arago Systems的Wisnet產品系列IPv6感測器網路節點和閘道(6LoWPAN),需要使用小尺寸、低功耗、工業級溫度範圍和低成本的器件。MachXO2 PLD的功能和靈活性與其小尺寸、堅固耐用、易於製造的32 QFN封裝相結合,完美地滿足了我們的高標準工業級需求。」
5 M$ M+ U/ B/ L$ R1 R: c, H: H
MachXO2 PLD系列獨特的系統整合優勢,廣泛適用於各種需要通用I/O擴展、介面橋接和上電管理功能的低密度應用。內置系統功能包括廣泛使用的I2C和SPI介面和在嵌入式功能塊(EFB)中的計時器/計數器的硬化實現,可提供高達429個查閱資料表(LUT)的預先設計、預先驗證的功能。Arago Systems的工程師在Wisnet產品中採用I2C介面時,節省了寶貴的設計階段和精力,縮短了產品上市時間。
作者: globe0968    時間: 2012-4-26 05:45 PM
萊迪思半導體晶片解決方案行銷總監ShakeelPeera表示:「MachXO2 PLD系列在系統和消費電子應用中獲得廣泛採用,這反映了我們的客戶熱衷於在他們的產品中使用低成本、低功耗、非易失性PLD,並且迅速地將自己的產品推向不斷發展變化的市場。新推出的32 QFN封裝的MachXO2系列器件拓展了空間受限和低功耗應用市場,包括消費電子、通信、計算、工業和醫療。」 - I7 q# w* v' `6 @" X" P& d5 ^: q5 ?

- U# _( ?8 q& L9 b1 ^參考設計和易於使用的開發套件
  ^# \) a3 L9 G' i
3 ^. c  j# U# _5 W為了縮短產品開發時間,提供了超過35個常用的參考設計和IP核,以及易於使用的MachXO2 Pico和控制開發套件,適用於成本敏感的低密度應用的樣機開發。使用開發套件中預載入的設計,設計師們可以在幾分鐘內測試I2C、SPI和UART介面。工程師們可以使用免費下載的參考設計原始程式碼,在不到一小時的時間內重新構建這些演示設計,為其設計探索提供了一個良好的開端。其他參考設計使系統控制應用的設計人員能夠快速進行典型的電路板控制功能的樣機開發,如系統控制設計中使用的溫度和電流監控、電源定序、故障記錄、重定分配和風扇控制。 % w' D  `, C( m2 b) h- e
7 s$ w1 @9 \0 l) T+ ?
定價和供貨情況
) y; `) y+ I' o) u3 T! O" p& t. p
9 B, F9 H2 C4 U5 w萊迪思半導體提供32 QFN封裝的MachXO2-256器件的工程樣片,受到Lattice Diamond®設計軟體版本1.4.2的支援。量產器件預計將於2012年第三季上市。其他所有MachXO2 PLD完全符合量產標準,並已經從2011年開始供貨。MachXO2 PLD具有各種密度、封裝和速度級選擇,可以透過萊迪思網上商店www.latticesemi.com/store或者經授權的萊迪思代理商www.latticesemi.com/sales訂購。批量250K片的MachXO2 PLD 256LUT價格為每片0.55美金。
作者: mister_liu    時間: 2012-5-11 08:57 AM
萊迪思MachXO2可程式設計邏輯套件出貨量達一百萬片 公司歷史上最快被客戶採用的創新、低成本、低功耗PLD系列 8 u1 V9 y& [8 @  `" N2 b* w
" g1 d7 h* @( e$ ]  ?! w4 l. t
【台北訊,2012年5月10日】-萊迪思半導體公司(NASDAQ: LSCC)今天宣佈自2011年12月發表產品系列量產以來,MachXO2™PLD出貨量已經超過一百萬片。在2012年3月,出貨量達一百萬片的里程碑代表在萊迪思的悠久歷史中,這是客戶最快採用的套件,也是可程式設計邏輯業界的先驅。' I5 N" [6 k. f9 C: ?

2 S, B! O2 M& @& |3 k% X. gMachXO2系列的量產訊息發表後不到4個月,第一百萬片的套件已經被運送至全球數百個客戶,他們充分發揮了此產品嵌入式快閃記憶體技術,以及其易用性、靈活性、系統整合和價格便宜等相結合的優勢。 MachXO2的客戶正在使用這些多功能的套件,針對許多市場的各種應用作為基本的設計解決方案,包括消費電子、通訊、電腦,工業和醫療設備的應用。
/ n% m* X) F+ V/ l7 O8 ]% @5 p. E, d; _+ s
萊迪思策略行銷總監ShakeelPeera 指出,「 在這麼短的時間內出貨量就達到了一百萬片,證明了MachXO2 PLD是在MachXO™系列產品中具有開創性價值的承先啟後者,此產品也加強了Lattice公司在低密度PLD市場中的領導地位。現有客戶和新客戶持續地重視MachXO2套件所帶來的價值,將其快速啟動和非揮發性的特性用於他們的單晶片解決方案中。然而,這個系列以前所未有的速度被使用者採用,可歸功於它的新功能,如多達6,864個LUT的邏輯密度、多達335個I/O、硬化實現產品中被廣泛使用的I2C和SPI介面、在嵌入式功能塊中的計時器/計數器,以及多達256 Kbits的用戶快閃記憶體。」
作者: mister_liu    時間: 2012-5-11 08:58 AM
參考設計和易於使用的開發套件
: M. h9 P& q$ e8 x8 ~: C, m: `
! A5 l$ |. w9 L1 J6 N( D35個受歡迎的參考設計和IP核,以及易於使用的MachXO2 Pico、和控制開發套件縮短了具成本導向、且低密度的原型應用開發時間。使用開發套件提供的預載設計,設計人員可以用短短幾分鐘的時間對I2C、SPI和UART介面進行測試。透過使用免費下載的參考設計原始程式碼,工程師們可以在不到一小時的時間重建這些參考設計,提供工程師們在研發設計時一個好的開始。其他參考設計讓系統控制應用的設計人員能夠快速開發典型的電路板控制功能的原型,如溫度和電流監控、電源定序、故障記錄、重定分配和系統控制設計中使用的風扇控制。
" C. Q$ C  z7 ?3 H; y3 J  y9 G" Q2 M( p+ Q; Z; K, s  E
定價和供貨情況: s8 B' q' X! S5 w0 b4 N, d

# d0 |3 m% K) u" h, OMachXO2 PLD已經完全符合量產資格,自2011年開始出貨。MachXO2 PLD系列具有各種密度、封裝和速度等級可供選擇,可以透過萊迪思網路商店www.latticesemi.com/store,和經授權的萊迪思經銷商www.latticesemi.com/sales訂購。對於批量為250K單位,擁有256個LUT的MachXO2 PLD,每片的價格低於0.50美元。
作者: innoing123    時間: 2012-6-4 04:54 PM
萊迪思推出最新MachXO2 PLD系列嵌入式功能塊的參考設計- E* u. C6 z. N/ ]2 r+ A2 A% ]/ ~
–MachXO2獨特的I2C、SPI和快閃記憶體固化IP導入可重複使用的最新演示設計–
+ }( A& y! V2 {[attach]16552[/attach]
! s* C7 W$ e! R( a% w% U5 [; D# t; k8 G1 n  J9 P
【台北訊,2012年6月4日】-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈,推出適用於低成本、低功耗的MachXO2™系列可程式設計邏輯套件(PLD)的四個新參考設計。新的參考設計簡化並強化了MachXO2套件中特有的嵌入式功能塊(EFB)內置的I2C、SPI和用戶快閃記憶體功能的使用。除此之外,萊迪思還發佈了五個新的演示設計和三個更新的應用文章,重點介紹以嵌入式快閃記憶體為基礎的嵌入式功能塊。
1 Z, f% Z0 s: e, t. E
# F0 f8 F5 z! y- M- G. a自從MachXO2系列量產以來,數百家客戶已經將EFB內置的I2C、SPI和使用者快閃記憶體功能與微處理器、微控制器、記憶體和其它系統周邊設備,廣泛用於各種I/O擴展和橋接、資料儲存、配置和電源定序等應用。
作者: innoing123    時間: 2012-6-4 04:55 PM
現在,新的參考設計滿足了下列功能,並延續EFB易於使用、可立即使用RTL代碼等特性,且每組參考設計都搭載了標準資料和指令介面:
9 N/ L! G, M# j" T2 t+ z. s8 x: L7 W2 U! ~/ L0 a) e( J
·        I2C-SLAVE(萊迪思參考設計編號RD1124)& J6 I9 T' C$ X+ Q2 h; k8 h+ A- Y
·        SPI-SLAVE(RD1125)6 u) M; u. s3 |( `$ }7 X; M
·        UFM存取(RD1126)
, m6 O. B6 R: b4 P: M7 V% M1 T·        嵌入式編程更新(RD1129)
0 P( }/ G& m3 T- Y& x3 y$ P
& G2 S3 e6 r, L" [  N7 D所有RTL代碼都加入了註解並經過參數化處理,可以很容易地進行編輯,滿足客製化的設計。
% r) X3 X+ y) q  r8 u
" G$ x+ ?; a* ?. Q$ i& a& W五個新的演示設計使用萊迪思低成本的硬體開發套件滿足了EFB參考設計,其中包括特價優惠的MachXO2Pico開發套件,其系統組態如下:
7 Z5 f; A& d. d3 K1 M# u9 X( E
·        I2CMaster和I2CSlave(萊迪思演示設計,編號UG55); u1 z: m! a8 S+ ?3 p  _* H
·        SPIMaster和SPISlave(UG56)
3 g4 R$ ]' g. E. A/ ^$ _·        使用C語言和LatticeMico8™微控制器的I2C &SPIMaster(UG54)
: ^% C( E2 O$ b& q8 \& z9 g·        透過Wishbone匯流排界面的編程(UG57)
' J$ I& C7 d( I& i) V! h8 `·        透過I2C的嵌入式編程(UG58)
作者: innoing123    時間: 2012-6-4 04:55 PM
[attach]16553[/attach]
3 }4 a/ O8 g: w9 ?! w+ ]7 G$ H% {: b5 A/ \' l+ z) \7 J
這些設計都加入了註解、預先驗證的RTL和C代碼,可以很方便地重覆使用,幫助工程師們順利地進行初期導入的工作。有關MachXO2程式設計、配置和EFB固化IP功能,請參考下面的應用文章:
/ p& n6 p8 b- ^2 Y6 V- i$ \3 B
3 Q& c0 S  `4 B* l! Z7 P·        TN1204 – MachXO2編程和配置使用指南
# _5 `+ K& j9 n* D; o# \8 C$ ?. B·        TN1205 –使用MachXO2套件的用戶快閃記憶體和固化的控制功能使用者指南
+ p$ T. p! _: f* A·        TN1246 –使用MachXO2套件的用戶快閃記憶體和固化的控制功能參考設計
$ _) R& T* {7 Q/ ~: t% F, }$ X5 Z4 e
2 S' E4 j) Z7 x& X/ m' c  ?' P) L定價和供貨情況
2 K/ y0 G% R/ l; Y' \: Z$ L7 b$ l7 X
" {+ v( m% E7 D8 b萊迪思所有專為MachXO2系列優化的參考設計都可以免費從萊迪思網站下載。MachXO2 Pico開發套件促銷價為29美金,可以透過萊迪思網上商店或萊迪思代理商購買,特價產品售完即止,促銷期至2012年12月31日結束。
作者: amatom    時間: 2012-6-7 06:13 PM
標題: 首批低成本、低功耗的LatticeECP4 FPGA樣品正式出貨
創新的6GSERDES、固化通訊模組和雙資料傳輸速率DSP塊 適用於低成本和低功耗的無線、有線和視訊市場應用 2 a  x' K* D/ M, b7 e
[attach]16602[/attach]
! i$ o. z' M5 ?* m0 ~, A
& d& F$ a% L; }& ]9 q5 t【臺北訊,2012年6月7日】-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈,新一代LatticeECP4™FPGA系列中密度最高的組件,已經出貨給部分經選擇的客戶。新的LatticeECP4 FPGA系列提供了多種200KLUT以下、低成本、低功耗的中階組件,其高性能的創新突破包括:低成本封裝的6GSERDES、功能強大的DSP塊和內建、以硬核IP為基礎的通訊模組。LatticeECP4-190是這個系列中密度最高的組件,擁有183KLUT、480個雙數據速率DSP乘法器(18×18)、5.8Mbits記憶體和12個6 GbpsSERDES通道,非常適合各種低成本和低功耗的無線、有線、視訊和計算應用。萊迪思已發佈了三個覆晶 (Flip-Chip) 封裝的LatticeECP4-190(676,900和1152 pin腳),可廣泛的適用於各種應用。
作者: amatom    時間: 2012-6-7 06:13 PM
LatticeECP4-190 FPGA擁有高速CPRI和SRIO2.1介面和雙數據速率數位信號處理(DSP)模組,適用於構建不同種類的無線網路。 LatticeECP4 FPGA可協助快速建構最新的3G/4G基地台、小型和超微型基地站、微波和毫米波後端接取線路。LatticeECP4-190 FPGA還擁有36個嵌入式時鐘和資料恢復電路(CDR),使用創新的低成本,低功耗FPGA構建高密度埠的交換器和路由器。強大的DSP模組及協力單位智慧產權核的數量成長和參考設計,讓視訊監控攝影機客戶也能夠使用物美價廉的中階FPGA實現複雜的演算法。 ; h; Y' j1 W& D+ P1 l4 S% ]" ^! E+ G  t% J

! ]7 A' y& H* m% j5 j# J, L萊迪思公司副總裁暨基礎設施業務部總經理Sean Riley表示,「隨著LatticeECP4-190組件的推出,我們的客戶可以針對無線基地台、後端接取、有線存取、視訊和顯示器的應用實現更複雜的設計,並且同時受惠於組件的低功耗和低成本特性。新一代LatticeECP4FPGA系列為基礎設施的客戶帶來了高級功能,同時保持了業界領先的低功耗和低成本優勢。」
9 @) W% W  T2 D8 _
, B7 u% J3 R" E# cLattice Diamond設計環境加速了開發時間
/ W1 s) S2 |+ \" l2 X4 c7 I# f2 a' N  H, O/ K
部分客戶於日前已經獲得Lattice Diamond®2.0測試設計軟體,已經可以立即開始設計並對新樣品進行程式設計。Lattice Diamond設計軟體是針對萊迪思FPGA產品所開發的旗艦型設計環境,提供了完整、功能強大的工具,高效的設計流程和使用者介面,使設計人員能夠更迅速地針對低功耗,低成本的FPGA做應用。此外,LatticeDiamond軟體持續提供專門為低成本和低功耗的應用而開發業界領先的功能。其中包括高精確度的功耗計算器,以pin腳為基礎的同步開關輸出雜訊計算器,和經驗證,有助於確保達到低成本和低功耗特性設計解決方案的MAP和PAR FPGA導入演算法。
作者: amatom    時間: 2012-7-18 04:04 PM
萊迪思推出強大設計工具Lattice Diamond 2.0軟體7 h. D1 R2 x0 @& J4 d, T7 I9 T
適用於新的低成本、低功耗LatticeECP4 FPGA系列產品
# e3 p/ b5 }: F-針對時序、功耗和封裝提供進階的資料支援-
& O, z6 Z; U& P/ u4 p* n( b
- w7 {, U$ P5 f$ w) O* Y9 ^【臺北訊,2012年7月17日】-萊迪思半導體公司(NASDAQ: LSCC)今天宣佈推出2.0版本的Lattice Diamond®設計軟體,為萊迪思FPGA產品提供旗艦級的設計環境。 2.0版本包含了對新一代LatticeECP4™FPGA系列產品的進階支援,針對價格與耗電反應敏感的無線、有線、視訊和計算等應用,重新定義了低成本、低功耗、中階FPGA市場。
. J2 B; S. Y$ u. z( P3 Y
$ l, y0 |' P3 l: q' k1 J$ [+ b/ w1 Q透過可滿足快速設計時序收斂(design timing closure)的性能,Lattice Diamond2.0大幅改進了整體的使用者體驗。除此之外,此設計軟體還針對LatticeECP3新增了一個以分割 (partition) 為基礎的增量設計流程。這個新的設計流程將有助於使用者保有設計性能,並減少設計修改後的執行時間。
" J; F! M9 [/ d( G' N
/ b/ u2 W1 S6 x4 t. X( m: `萊迪思的軟體行銷總監Mike Kendrick說道,「Lattice Diamond 2.0軟體包括一套完整、易於使用、功能強大的優化設計工具,針對萊迪思低功耗、低成本的中階FPGA獨特邏輯結構所設計。增加的新功能包含:如系統規畫工具(System Planner),可支援萊迪思創新的LatticeECP4架構,並簡化複雜地高速6 Gbps串列資料傳輸解決方案的產物,同時滿足預設的成本目標。」
作者: amatom    時間: 2012-7-18 04:05 PM
針對新的LatticeECP4 FPGA系列提供先進的資料支援" p5 {1 ?; T! O- O& a* m8 B
: y( a. N+ m: r# Y
當使用者針對價格與耗電反應敏感的中階FPGA做開發應用時,他們可以在Lattice Diamond設計環境中,輕易地熟悉這套設計方案,這樣的架構非常適用於LatticeECP4系列產品。以早期LatticeECP4-190組件的矽特性為基礎,Lattice Diamond2.0軟體可支援針對時序、功耗和封裝的進階資料。除了可確保低成本和低功耗特性的演算法外, Lattice Diamond2.0版本還增加了一個新的系統規畫工具(System Planner),讓使用者能夠優化LatticeECP4組件中12個6Gbps SERDES通道對於資源的使用。
& Y( K' M3 ^& M% Y/ X4 G" {4 L  h0 T/ n( X' h, y
此外,功能豐富的功耗計算器提供節省功耗和待機模式的設置,和預強調(pre-emphasis)的配置一起精準地分析和估計LatticeECP4設計所產生的功耗。2.0版本還能夠生成LatticeECP4組件的DSP塊,而此DSP塊也是業界唯一以FPGA的高輸送量、雙數據速率為基礎的DSP塊,非常適用於低成本、高性能的RF、基頻和圖像信號處理。 3 Q* {7 b, }8 F6 ]0 b2 W% n, `

- O$ I* I3 {( @8 e: z' u' ?4 D! A  K加速產品上市的高效能設計流程
3 ^/ W8 @/ z! y4 u% D6 e) ?: }. [. [2 m9 b1 }
在最短的時間內達到時序收斂是一個重大的挑戰,因為用戶把越來越多的功能放入單片FPGA中。當用戶改變他們的設計時,他們會希望FPGA設計工具能夠保留一些已經取得、由關鍵時序產生的結果,並縮短導入更新設計的執行時間。LatticeECP3 FPGA的用戶現在可以使用以分割(partition)為基礎的增量設計流程,以保留設計的性能,並減少變更局部設計後執行編譯的時間。這個設計流程會重新使用先前編譯的分割,區分出在重新設計過程中未涉及的部分,只重新編譯有改變的地方。
3 Y% X! t* `/ K0 B4 G
" K2 ]( \- P) z$ }3 g& P0 G' B此外,為了滿足快速的時序收斂,大多數使用者通常會適度地限制他們的設計。Lattice Diamond2.0軟體提供了改良且無限制的路徑報告(paths report),能夠讓使用者更迅速地識別並填補他們受到限制的設計。
作者: amatom    時間: 2012-7-18 04:06 PM
更加易於使用
* z, T+ t; y/ w& Q9 d7 g; S. s" v# A7 _
Lattice Diamond軟體是一個直覺式的設計環境,使用者能夠更迅速地完成他們的設計。為了協助識別並修正在設計流程初期會遇到關於引腳的使用問題,Lattice Diamond1.4版本導入了一個新的引腳-Design Rule Check(DRC)引擎。使用Lattice Diamond軟體2.0版現在就能夠檢測到額外、未正確使用引腳的情況,除了支援LatticeECP3、MachXO2™和LatticeSC™組件系列產品之外,對LatticeECP4組件也有提供支援。該DRC引擎可即時或執行指定的工作。新版本還可輸出易懂的報告,提供建議來幫助使用者修正引腳的使用問題。 5 r! M! o2 A4 a* ]- {

& @: h+ d& t( u/ o! I5 X  V- Q. i每個版本的Lattice Diamond軟體都包含了Lattice Diamond程式設計和Lattice Diamond部署工具。透過直覺地導入方式,使用者可以輕鬆地對萊迪思組件進行程式設計,或是依據用戶部署所需的格式,產出適合的組件程式設計檔。從Lattice Diamond Programmer 2.0開始,用戶可以添加自己的SPI快閃記憶體組件到任何新版的工具。Lattice Diamond 2.0的部署工具還提供了更多的嵌入式功能,如嵌入於MachXO2組件系列的I2C,LatticeECP3和LatticeXP2™系列組件的從屬SPI。Lattice Diamond程式設計和Lattice Diamond部署工具都可當作獨立的工具來使用。
: A( R* h$ d8 r0 I
8 k% T0 X1 V' [7 c' z" N協力廠商的工具支援
) G: A5 n; ~1 h6 e
/ X1 c4 @8 r: h5 hLattice Diamond套裝軟體包含了適用於Windows和Linux Synopsys的SynplifySynplify Pro 高級FPGA綜合工具,以及適用於Windows的AldecActive-HDL萊迪思版II模擬器。
作者: amatom    時間: 2012-7-18 04:06 PM
對所有萊迪思組件的支援除了SynplifyPro和Active-HDL的OEM版本外,還包括SynopsysSynplify Pro、AldecActive-HDL和Riviera-PRO的完整版本。 Mentor Graphics的ModelSimSE和PrecisionRTL的綜合版本也支援萊迪思組件。 - ?7 t# U$ W/ n9 M+ {  \, x7 Q, ~
% |- r1 `$ @  [2 w) t1 A( H7 u
支援的作業系統& [! _, h2 z+ J! P0 ~3 e$ g
* m9 U( S, X, l
Lattice Diamond2.0軟體支援微軟的Windows XP、Windows Vista和Windows 7作業系統,現在還針對Windows 7的64位元應用增加了記憶體容量。對於Linux用戶,現在Lattice Diamond2.0能夠在第5和第4版本和上Linux Red Hat 6運作。 : D* j& @. x4 ~/ E0 \6 Y. ?8 A

, ?8 J* |/ {! ?6 ?2 g8 F& R定價和供貨情況$ v/ Q' h6 C  }- v9 Z

# q+ w3 G) c. G" [* K針對Windows和Linux作業系統的Lattice Diamond軟體可以從萊迪思的網站下載http://www.latticesemi.com/latticediamond/downloads/。一旦下載安裝,該軟體就可透過Lattice Diamond的免費授權或Lattice Diamond訂閱授權進行使用。使用者在萊迪思網站提出申請後即可取得Lattice Diamond免費授權。透過此授權將可免費存取許多熱門的萊迪思產品,如MachXO2、Platform Manager™系列、綜合版本的Synopsys SynplifyPro以及Aldec萊迪思版II混合語言模擬器。 8 X; t- @6 n, b: K- m

* V: p3 l1 k, N8 O9 y/ o透過購買Lattice Diamond訂閱授權將能夠使用免費授權的所有功能,包括所有萊迪思的FPGA,如LatticeECP4組件(不包含LatticeECP4-95組,該組件目前只提供給部分客戶)。Lattice Diamond訂閱授權的價格為每年895美元。
作者: atitizz    時間: 2012-10-18 01:26 PM
iCE40 FPGA產品家族持續得到業界肯定- 超低密度的FPGA角逐「 年度數位半導體產品」獎 -
# }- k/ H- V5 }
" a- {( y1 K0 T5 o(台北訊,2012年10月18日)-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈其超低密度的iCE40™FPGA系列被提名入圍「年度數位半導體產品」Elektra獎的決選名單!在獲得此榮譽不久前,iCE40 FPGA系列產品才因為其節能和節省功耗的特色榮獲e-Legacy的「環保設計」獎。 # I  @  v1 T, n* \7 B# I

$ C5 b7 {% Z  I$ Z+ @# M此獎項的決選名單是由2012年Elektra歐洲電子工業獎的獨立評審小組遴選脫穎而出,並將於12月12日在倫敦威斯敏斯特橋公園廣場 (Park Plaza, Westminster Bridge) 的Elektra頒獎晚宴上宣佈獲獎者。Elektra獎可說是電子產業的年度盛會,該獎項不僅讓個人的成就得到認可,也為橫跨歐洲的企業提供了受表揚的機會。 # L0 H% }* ~& p0 e+ S
5 [8 {6 t  ]2 b
萊迪思資深企業和產品行銷總監Brent Przybus表示,「我們很高興能獲得Elektra獎獨立評審團的肯定。我們創新、超低密度的iCE40 FPGA持續獲得各界的掌聲,其多功、低成本和低功耗的特性也受到市場廣大的歡迎。超低密度iCE40 FPGA產品家族正在為FPGA開闢新的市場和應用,其中包括廣泛用於消費性電子和行動電子產品的設計應用。」 3 `$ h+ i5 A# K, B2 i1 o' `

, Q+ j; D8 w9 ^$ i0 v關於iCE40 FPGA系列
+ B8 D: }- Y/ t) S" _! o2 c: d. j, P
iCE40 FPGA產品家族專門針對對於功耗、成本和尺寸都極度要求的應用而設計。對智慧型手機、平板電腦、數位相機,以及其它被空間和功耗限制的應用來說,iCE40 FPGA產品家族的成本優化結構,為這些應用提供了理想的架構。 iCE40 FPGA產品家族針對感應器管理、視訊和圖像、客製化的連結、記憶體、擴展儲存空間和粘合邏輯(Glue logic)等應用都是理想的選擇。
作者: globe0968    時間: 2012-10-30 09:58 AM
適用於複雜系統控制和介面設計原型開發的萊迪思MachXO2控制開發套件 & ~2 w: x5 z5 |  ~6 r
– 以MachXO2-4000HC FPGA為基礎的開發套件,具有可程式設計特性,且易於使用,有助於簡化電子系統設計–
( d* i' ~4 U1 F" `- s! h  W( R
: b6 p9 L6 |" Z- A3 s- G) r2 O! z. X(台北訊,2012年10月29日)-萊迪思半導體公司(NASDAQ: LSCC)宣佈推出MachXO2™系列超低密度FPGA控制開發套件,適用於低成本的複雜系統控制和視訊界面設計的原型開發。新加入了MachXO2-4000HC組件,包括4,320個可程式設計邏輯的查閱資料表(LUT)和222Kbit單晶片記憶體,滿足了通訊、計算、工業、消費電子和醫療市場對於系統控制和介面應用的需求。" y' L" b- ^* n9 q
6 m  m1 T0 k& C  v  ^0 m5 M
工程師們可以將MachXO2控制開發套件用作一種低成本、全功能、用於系統控制功能開發的開發平台,如電源定序和監控、重定分配和資料記錄。該套件內建的DVI和7:1 LVDS(Camera Link)視訊輸入和輸出連接器,也是視訊界面和處理設計的理想選擇。控制套件讓每塊電路板上容納更多感測器、促動器和指示器來滿足複雜系統的控制和介面需求。MachXO2-4000HC組件的可程式設計邏輯和單晶片記憶體還能實現高品質影像處理和緩衝等功能,適用於低成本視訊應用。* I1 }  q  p6 s& S, G* p, u
0 Y' D, q; Y% `6 F
該套件也提供了其他豐富的內建系統資源,協助工程師構建實際系統原型的數位和類比控制、人機界面(電容式麥克風、揚聲器/耳機、LED)和用來連接到外部設備和系統各種介面(USB 2.0、microSD、GPIO)。除此之外,工程師還可存取電路板上萊迪思Power Manager II POWR1014A類比/數位電源監控器和時序控制器、4 MB SPI快閃記憶體和256MBLPDDRSDRAM記憶體。7 d$ b; E6 {2 ^

- L: h' X9 L- \8 i5 r萊迪思企業和產品市場部資深總監Brent Przybus表示,「客戶持續在使用MachXO2系列組件來處理越來越多的系統介面,並利用低成本、低功耗的可程式設計特性導入智慧系統控制。控制開發套件簡化了這些系統的原型設計,並證明了MachXO2系列產品在嚴苛的市場要求下,還能在各種系統控制應用中被廣泛的使用。 」
: H% M% o) C( Q, Y
1 q+ I# @0 ]) b+ o% u  ]參考設計和易於使用的開發套件4 Y( N2 G! z% T
( N' w2 ?6 R3 I  ~3 H1 u' q
為了縮短開發週期,萊迪思提供了超過35款廣受歡迎的參考設計和IP核、一塊低成本的分接板(Breakout Board),還有易於使用的Pico和控制開發套件,這兩套開發套件可針對使用MachXO2 FPGA開發出的成本敏感、超低密度的設計進行原型打樣,使用控制開發套件中預載的單晶片系統控制設計,工程師們可以使用其中的Power Manager II POWR1014A組件和8位元LatticeMico8™微型軟體控制器在幾分鐘內測試電路板控制功能,如電源定序、重置分配、電源監控和資料記錄,讓工程師們在探究其他設計選項的初期,立即就能上手。& w7 j0 ?6 ~, S; y
定價和供貨情況
9 `( N0 o. Y5 a# M# E' q; ]; G7 t  z9 P# F7 }
MachXO2控制開發套件已經上市,建議售價為189美元。所有MachXO2 FPGA產品皆符合量產標準,並已從2011年起開始出貨。
作者: mister_liu    時間: 2012-12-19 03:42 PM
萊迪思iCE FPGA系列產品出貨量達到1千5百萬片 –萊迪斯將於CES 2013上展示此達到歷史出貨量的超密度FPGA–
0 q1 ~) B6 v7 m6 b: n9 R& m+ V" X1 |: W
(臺北訊2012年12月19日)-萊迪思半導體公司(NASDAQ: LSCC)今日宣佈從2011年12月以來,iCE FPGA組件出貨量已經達到1千5百萬片,包括超低密度的指性產品iCE40? FPGA系列,此系列組件也為萊迪斯公司過十年來出貨最快的產品。此優異的成反映出iCE40組件正以前所未有的速度被應用到行動消費品上,而該領域占了絕大部分該組件的出貨量。7 Y3 b& b0 H% E$ y3 p* ?6 Z

, W/ O. z9 m( j  {. P" o$ a. g萊迪思半導體公司將於1月8日至11日在拉斯維加斯舉辦的國際消費電子(CES)期間舉辦以行動應用創新為題的私人見面會屆時將展示多款採用iCE40組件的應用。萊迪思展示廳位拉斯維加斯酒 (Las Vegas Hotel) 東樓 (East Tower) 2980號套房。若您希望預約時間參萊迪思展廳,並解創新的行動應用如何解決具體的設挑戰,請造訪2013國際消費電子萊迪思創新行動應用網站進行註冊,或與經典公關新聞聯絡接洽。' |4 N% _7 \5 J  V' [7 C+ p

* i6 p/ Z7 ?2 c  BiCE40 FPGA系列針對功耗成本和空間所做設計和應用都有嚴苛的要求。iCE40系列產品採用了本和功耗都經過高度優化的結構,是慧型手機、平板腦、數位相機等終端產品,以及其它間和功耗受限系的理想選擇。iCE40組件可被導入於多創新功能中,如感測器控制、處理管理,還可分擔用處理器處理視訊和影像的工作,並夠客製化連接器擴充記憶體和存儲空間。
, X/ ^6 a: R  q, r8 d" Z+ `, e1 X3 k6 ~( i7 I, Y9 h! W2 W! Q* A
萊迪思企業??市場行銷和業務開發副總裁Mustafa Veziroglu 示,「萊迪思做了相多的投資,致力於開發可滿足行動和費電子產品設計求的各種低功耗和小尺寸FPGA,而我們也是一一家專注於此領域的供應商。 使用我們的FPGA,設計人員無等待新一代的應用處理器問世,即能實現創新的功能這也意味著他們所設計的產品能夠更地進入市場,滿消費者現今想要的產品功能。我們非高興iCE40組件獲得了如熱烈的迴響,並且被廣泛應用,再次固萊迪思在於超密度FPGA市場的領導地。
作者: mister_liu    時間: 2012-12-19 03:42 PM
專為創新行動用設計的FPGA& G( s" J" Y5 X" t/ z2 \
現今消費性子和行動產品設計往往需要在很短的發週期內,實現的差異化功能,此壓力讓設計人員更賴標準晶片,使用處理器的負荷增加。但是,這也使計人員面臨一種難的處境:應用處理器晶片組需要兩三年來開發,這味著任何現有的處理器都是兩、三年所制定,與消費需求的變化速度相比,這段時間實在過漫長。8 `5 F9 _) z1 O

! R! a0 Q# p9 n: k2 [8 g因此,費性電子和行動產品設計人員為了滿緊迫的開發時間求,必須使用現成的晶片組。然而,的應用處理器往無法滿足現今的市場需求。
& u( Z* V- h/ G) a9 y6 e* y$ Z6 ^  `6 F- W4 W6 l9 |0 z
其中一個解決法就是使用FPGA作為應用處理的配套組件夥伴,讓設計人員可以迎當前消費性電子用的需求,並且無需等待幾年後才會現的晶片組。但,直到最近,這個方法已經不再是選之一。因為對消電子裝置而言,FPGA太大、太昂貴太耗電。然而,專門為小尺寸、低價對功耗高度要求消費性電子裝置而設計的超低密度FPGA,如萊迪思的MachXO2和iCE40組件可為應用處理器的配套組件,讓設計人能夠不斷追求行應用的創新。! |* A, d9 b" R: W8 r) o- n

5 J; i: }* Z/ x3 s% Q0 Z
& H; h8 h7 a$ Z2 s行動應用創新展示範例
$ `8 C" W+ e1 B0 n2 T+ }% c在萊迪思展廳參觀者將有機會接與萊迪思的資深主管和專業技術人進行設計相關的論,還可觀看使用萊迪思公司各種超密度FPGA組件設解決方案的展示,包括:1 U7 L9 w4 A1 r3 C

- x1 m/ B8 p1 n1 N0 W·         MIPI CSI-2像感測器橋接 (image sensor bridge) 解決方案,解決方案可滿足低成本、高品質的影感測器需求,能泛應用於家庭安全監控攝影機
2 z2 u# ]0 K2 C8 N+ i$ _·         智慧測集線器設計可管理感測器通訊,最限度地減少應用理器的工作負
/ I. C4 p$ e' O9 @7 l' w·         轉換算法,以裸視的方式將標準的2D視訊轉換到模3D
; k8 c8 T) _, E2 ]9 r/ u·         用於遠端定位距ISP達10尺的攝影機影像感擴器,該應用是在尺寸電視上增加影鏡頭的理想選擇
作者: amatom    時間: 2013-10-24 10:52 AM
萊迪思推出全新超低密度FPGA可提供情境感知行動裝置 隨時感測解決方案
/ ]! z" H; d4 A! K" Y* O: q( q-全新iCE40 FPGA可大幅降低100x功耗 其超小型面積可配置於各種應用 實現隨時感測整合性-6 ]' {. T* ]: a) T3 H0 q
[attach]18952[/attach]
1 v% B  o! {4 j7 G" p" g0 T: m8 T0 r3 c9 b1 h* \
(臺北訊,2013年10月23日) 萊迪思半導體今日推出全新超低密度iCE40™ FPGA,提供全球最具彈性的單一晶片感應解決方案,創造新一代情境感知、超低能耗行動裝置。新增iCE40 FPGA系列產品幫助客戶以更小空間整合更多功能,只需1.4mm x 1.48mm x 0.45mm的空間,即可以平實價格縮小控制板空間與系統複雜度。 - ]0 o/ O' b" H, `8 {' v. n2 N
8 X4 S# l/ P2 V8 N$ U. \6 B; \7 @
全新iCE40LM FPGA採用硬IP,適用於閃控產生器、I2C與SPI介面,為行動市場帶來幾近零延遲標準,建構情境感知系統與即時捕捉功能,讓用戶與環境輸入誤差降至最低。此全新FPGA可協助設計行動產品平台,並依據動態、移動方向、地點及其他環境互動方式,創造豐富的媒體經驗。
作者: amatom    時間: 2013-10-24 10:53 AM
全新iCE40 FPGA由於體積極小,可在單一晶片中整合各項進階功能,例如IrDA、條碼模擬、服務LED等,亦有邏輯供其他客戶客製化功能使用。此外,相較於傳統處理器方案,萊迪思iCE40LM FPGA解決方案可降低功耗至一百倍,進而提高電池壽命,為終端使用者帶來更多產品價值。1 t) o9 |2 T1 E

8 M; a1 l  V8 [$ l0 B' B萊迪思超低密度系列資深產品經理Joy Wrigley表示,「結合超低主動式電源與全球最小感應管理解決方案,可創造出全新智慧裝置,可感知所在位置與功能。我們不斷投資於封裝技術,進而整合更多功能並縮小系統尺寸,協助OEM廠商在行動系統裡,以平實價格整合更多種類及數量的感應器。情境感知確實改變行動產業局勢,而iCE40LM感應器解決方案可協助設計師創造差異化」。
9 @9 P; U+ @# y/ j& ^* X( a1 }9 x& i! d) T- J9 Q) H0 j
iCE40LM FPGA可使行動裝置系統工程師在各種設計裡只需使用單一簡易平台,即可增加或客製化感應管理功能與能力,新增產品包括iCE40LM 4K、iCE40LM 2K、iCE40LM 1K FPGA,期功耗極低,在主動模式內不到1 mW。
, ^7 a9 Z, D4 R1 p. b# V# D9 |6 D) b) g$ [) }
此外,在iCE40LP FPGA產品線的iCE40LP 640 FPGA與iCE40LP 1K FPGA內,亦增加全新16球晶圓級晶片尺寸封裝 (WLCSP, wafer-level chip-scale ),進一步縮小iCE40系列產品尺寸。新產品採用先進的0.35毫米錫球間矩封裝,僅1.4毫米x 1.48毫米x 0.45毫米,而其輕巧尺寸對物聯網及隨時運作的應用為關鍵配置因素。
作者: amatom    時間: 2013-10-24 10:53 AM
感測技術解決方案0 m) Y4 D, t$ q8 S  Z2 {
除了提供基於iCE40LM FPGA 感測的參考設計外,Lattice並與業界領導感測技術IP廠商合作,以確保設計師擁有完整的解決方案,並能在其設計中執行正確的運算法。iCE40 FPGA產品系列主要IP支援包括採用LED的條碼模擬解決方案、全球通用IR遙控控制解決方案,以及可感知使用者動態及移動位置的感測技術。( |1 ], d; M2 ~9 n5 l

& T* o, j; H+ C4 ^% ^  r8 M設計及開發支援
; Y& p$ J. E$ a2 t; Q- j所有iCE40裝置皆可採用萊迪思於2013年8月推出的iCEcube2™設計軟體以及Lattice Diamond® Programmer v3.0。簡易使用的iCEcube2軟體乃專為提供行動設計高生產性、整合開發環境及優化萊迪思iCE40 FPGA架構而設計,可提供行動設計師世界級設計流程。Lattice Diamond Programmer可與iCEcube2軟體相容,進而協助萊迪思裝置編程,簡化通用流程,包括設定如頻寬、埠等裝置資訊,選擇編程資料檔案使用、編程單一或多重裝置等。1 {* s2 P9 I8 r
0 ^# h  v& M0 G5 C
價格與供貨. U; G( m7 {  Q8 {( Z: L
此全新裝置目前已進入生產階段,並透過萊迪思代理商合作夥伴提供。大量訂購價為低於1美元起。
作者: globe0968    時間: 2014-1-27 10:55 AM
萊迪思半導體位於菲律賓的分支機構獲得產業認可的ISO9000認證3 P( s+ H3 a. P$ g1 D
–萊迪思位於馬尼拉的運營中心是公司最新設立的分支機構,這一重量級的認證是對我們追求卓越的認可–
! }5 e/ |9 F/ r2 a
6 ]1 [; R* }  |' X/ `(臺北訊,2014年1月27日) 萊迪思半導體公司宣佈位於菲律賓馬尼拉的分支機構獲得了ISO9001:2008認證。ISO 9000 標準體系由國際標準組織(ISO)制定並發佈,明確驗證了品質管制系統的要求。萊迪思位於馬尼拉的運營中心是公司第三個獲得ISO認證的機構,展現了萊迪思無論在何地都致力於追求卓越品質的精神。' {) X8 C) @! D! Y0 Z
# e" ~7 R! t, X0 p  o6 i
ISO是全球最大的非強制國際標準制定者,為眾多企業提供了針對產品、服務以及實際操作可遵循的最新標準。這些標準的制定基於各國間的共識,有利於打破國際貿易間的障礙,提升產業效率。5 z1 |1 Z: h1 H5 ~) f# x  U
; I2 }, L4 g) U
「萊迪思致力於幫助客戶及合作夥伴提供高品質的產品,進而嚴格控制尺寸、功耗、成本和進度,向市場推出創新的產品,」萊迪思半導體公司品質和產品開發部副總裁Mike Orr說道。「全球的萊迪思團隊都在取得嚴格的ISO國際標準認證上做出了貢獻,進而讓馬尼拉成為了公司第三個獲得此項認證的機構。」3 n& o5 Z  T2 m# a* }
7 p3 o0 Z4 N6 z% V+ V& ~
萊迪思位於馬尼拉的運營中心致力於研發、分包商管理和工程設計,作為公司立足於全球的重要部分,確保全球的客戶都能獲得萊迪思提供的最好的產品和服務。萊迪思的業務遍佈全球,能夠參與到各產業的專案中,並與當地的公司合作,為產業帶來我們的客戶和合作夥伴所關心的更深層次的創新。




歡迎光臨 Chip123 科技應用創新平台 (http://www.chip123.com/) Powered by Discuz! X3.2