Chip123 科技應用創新平台

標題: Cortex-M0+ IP Core [打印本頁]

作者: sinoicboy    時間: 2014-3-7 11:22 AM
標題: Cortex-M0+ IP Core
本帖最後由 sinoicboy 於 2014-3-7 11:24 AM 編輯
% o2 g3 b% @9 p% Y) n4 a1 K2 n
[attach]19654[/attach][attach]19653[/attach][attach]19655[/attach][attach]19656[/attach]
. g" u: @) ]  D) @( z6 X' {7 }+ V$ v  ^$ W) h3 R; a: Z) v3 x
iconstart@gmail.com
* a: f3 ]  \7 h
' X$ M% m0 m# c/ F! b* L                                  AR085-DA-70000-r0p0-03rel0/2 Q( s$ r0 ]# p. z$ N
                                  AR085-DA-70000-r0p0-03rel0/doc/: z- D: J  Z5 F: n; s/ Z
                                  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/1 ?+ e! v' ]- w- j+ e6 r
4af79e0557cc9c6863c2eab0f890aaad  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/DDI0419C_arm_architecture_v6m_reference_manual.pdf
" V) Z% o1 f" f; t                                  AR085-DC-11001-r0p0-04rel0/
/ U' R$ H) ^3 z/ z. {1 s  f/ h                                  AR085-DC-11001-r0p0-04rel0/doc/( i$ M& m( d* x$ d) l4 ^
                                  AR085-DC-11001-r0p0-04rel0/doc/errata/9 ?3 r# i$ S. _7 I# y" W6 N9 C( |
57ce61d69a56fae9dff02a664dc0930a  AR085-DC-11001-r0p0-04rel0/doc/errata/ARMv6-M_Architecture_Errata_List.pdf' d  }0 W5 s9 h: z: p  f
                                  AT590-BU-11001-r0p1-00rel0/$ `$ Z2 t3 S' V2 p, W9 R  j
b2bb2db05b71fa9e2ecd4c2841c22f3f  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Product_Errata_Notice_v4.pdf
- q. t' e9 f4 ^! P- Md305236940b52b92b81e8579435efe7e  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Software_Developers_Errata_Notice_v4.pdf& V+ l  Y! [& S+ _: p$ ]* M$ d$ }# ?
2d80706f7a099ac4a9674908c50d730e  AT590-BU-11001-r0p1-00rel0/AT590-BU-11001-r0p1-00rel0.lst! {3 x/ S  C/ x6 {$ k
                                  AT590-BU-50000-r0p1-00rel0/
1 q; b( V. X0 p. Z" r; p6 ^+ g- D6 I70404309a7929774e3bd8786e10771eb  AT590-BU-50000-r0p1-00rel0/ARM_Cortex-M0+_r0p1-30rel0_ReleaseNote.pdf8 Y, e" x* E5 q) J. t. \) a( @6 V
                                  AT590-BU-50000-r0p1-00rel0/logical/9 b( H  S' v- ~3 S5 z
                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/) o; k- v0 E4 A& y: u. x
                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/( h; ]. o9 I8 d, z7 K
80fe9cfaeb5e260f70aebfcac0bdf888  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_cdc.v
# [4 W5 e( a. o2 u063cdb1c994aca1f31971a3d52da426d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw_defs.v4 e4 I! c' ~$ y' B! o. {! X
17f0e782572ea85e916a26ef804106ac  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag_defs.v
& z( T2 a$ Z8 g4 o5 L( Ldb6b0ced453f9ba83035ca0b2d31c55d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast.v
1 n, \4 |0 A+ S" h! Z2 c( Y. t2 z; v12e85d89d377ef33f7a41f5f49bf20f0  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag.v$ a4 I/ e# P! Q, M  k; Q
bb3812a6b967f1ffaa604a858064f1d6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_top.v: {1 _4 q, v5 K# u  X
2b71a63c5d6843c6a0c64972ca0a3483  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp.v/ Q$ T$ B1 t5 i1 V' N" v2 d9 C
fc6a96af9879ce4ccb0c150913d38ab5  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_cdc.v. K6 H. q! H+ R) w+ @1 V! E
72017024f98163d43c2f77e920560bd3  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap.v
9 N6 ^  l" v7 Y1 P- o  k& ]6ca54cbd04210e471b30aaca343e1966  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast_defs.v
- g" Q) y: C/ Y# G# I764fcf3fa6ce572a3325f069a591baf6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_pwr.v
% \% Y, I8 m' Q( v4 @3ffb32cddb6a7e19f9f91e437f7ca59b  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/CM0PDAP.v/ Z$ F) G! }0 j
75d1d91ab79ae9e0e044ed1ef71cf03e  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw.v
: P7 F; l" h$ D# P9 L9 t; s- \                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/
1 f. a0 T" `) _4 h+ X. l' ]$ T4 G                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/
6 B! ]* g7 h  S95d54f552eade07ede322600da1f95c3  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_bpu.v" @" I' z0 J2 l9 q! n
302c07875f4fc2cfe52c087ca6429cc0  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix_sel.v6 U; k$ B4 n( ]/ {6 V/ ?: S# M
fce226f9ab48e92ff074fcad3dec6728  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_sel.v
8 c# e- k) x) Yc00549e61aae47696609028230712dd6  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_ctl.v7 O' Y, ^( Y/ b4 c* V
ed481eab3481c71d4e2dc94531b88a3d  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_nvic.v
# m6 F7 O6 G( o! _* r57a19fb958028b39c425e6ac68f882aa  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_sys.v, p& K, O& u, Z1 C5 Q5 s" t
66bc815f02ad900042cec326a6679963  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_dwt.v0 w3 d5 I; f: \& p5 c
62d60f5f2bceb3ce3f82e8fcb48446ff  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_mpu.v
4 V+ ~) ^. F* d2 |) C4b054567197e5f54d3bacf492271f4c9  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix.v% ^! {0 i0 r5 p2 S: B
a436b897620bcd65a4f6f7d50345215e  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_clk.v
作者: 114142500    時間: 2015-3-11 02:42 PM
thanks! do you have source codes for M0? It‘s very great for sharing the code。my e-mail is 114142500@qq.com,thank you very much!




歡迎光臨 Chip123 科技應用創新平台 (http://www.chip123.com/) Powered by Discuz! X3.2